Перетворення аналогових та цифрових сигналів

Дослідження функціональних компонентів вхідного і вихідного інтерфейсів комп’ютера. Характеристика цифро-аналогових перетворювачів цифрової інформації. Розгляд особливостей аналого-цифрових перетворювачів послідовної лічби та з порозрядним кодуванням.

Рубрика Программирование, компьютеры и кибернетика
Вид реферат
Язык украинский
Дата добавления 29.10.2014
Размер файла 58,7 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

План

1. Введення аналогових сигналів в комп'ютер

1.1 Мультиплексори

1.2 Дискретизація сигналів

1.3 Класифікація сигналів

1.4 Аналогова фільтрація

2. Цифро-аналогові перетворювачі

2.1 Загальна характеристика цифро-аналогових перетворювачів

2.2 Схеми ЦАП із сумуванням струмів

2.3 Основні параметри ЦАП

3. Аналогово-цифрове перетворення

3.1 Аналого-цифрові перетворювачі послідовної лічби

3.2 Аналого-цифрові перетворювачі з порозрядним кодуванням

3.3 Паралельні АЦП

3.4 Основні параметри і характеристики аналого-цифрових перетворювачів

3.5 Нове покоління АЦП

1. Введення аналогових сигналів в комп'ютер

Функціональні компоненти вхідного і вихідного інтерфейсів комп'ютера показані на рис.3.1. Сигнал, що виробляється датчиком, має бути відфільтрованим від всіх сторонніх частот до того, як він буде оброблений комп'ютером. Зокрема, необхідно вилучити високочастотний шум, який зазвичай наводиться у кабелі при передачі сигналу. Відфільтровані вимірюванні сигнали збираються в мультиплексорі. Перетворення аналогового сигналу в цифровий відбувається в аналогово-цифровому перетворювачі (АЦП).

1.1 Мультиплексори

В багатьох випадках різні елементи системи повинні разом використовувати деякі обмежені ресурси, наприклад вхідний порт комп'ютера. Мультиплексування (multiplexing) дає можливість комп'ютеру у будь-який момент часу вибрати сигнал, який датчику необхідно зчитати. Іншими словами мультиплексор (multiplexer) можна розглядати як перемикач (комутатор), який з'єднує комп'ютер у кожен момент часу тільки з одним датчиком.

Мультиплексор може бути електромеханічним або електронним.

1.2 Дискретизація сигналів

перетворення аналоговий цифровий сигнал

Комп'ютер не може безперервно зчитувати аналогові сигнали, а вибирає їх тільки у деякі моменти часу, тому комп'ютер сприймає сигнал у вигляді послідовності дискретних значень. Дискретизація (sampling) - вибірка, оцифровка, квантування - являє собою зчитування сигналу тільки у визначені моменти часу. Дискретизація відбувається дуже швидко. Однак під час АЦ-перетворювання не має бути яких-небудь змін у вхідному сигналі. Це забезпечується операцією вибірки і зберігання (sample-and-hold) в кожному циклі дискретизації.

Дуже важливо вірно визначати інтервал дискретизації аналогового сигналу, що в загальному випадку являє собою нетривіальне завдання. Інтервал дискретизації h має бути досить коротким, щоб вихідний сигнал із достатньою точністю описував зміну аналогового сигналу. Теоретично частота дискретизації повинна у більш ніж у два рази перевищувати частоту найвищої складової f сигналу (частотні моменти визначаються за допомогою Фур'є-аналізу вихідного сигналу). Гранична частота називається частотою Найквіста (Nyquist frequency)

1.3 Класифікація сигналів

Впорядковану послідовність кодів станів системи, призначену для передачі інформації, називають повідомленням. Інформаційне повідомлення передається від передавача до приймача за допомогою каналу.

Для передачі інформації від джерела до приймача повідомлення перетворюють у сигнали. За означенням сигнал - зміна фізичної величини, що використовується для пересилання даних. Сигнал утворюється на основі деякої фізичної величини (електромагнітні або акустичні коливання, електрична напруга та ін.), яку називають енергетичним носієм. Зміна параметрів такої фізичної величини у часі (амплітуди, частоти, фази, тривалості) за законом передачі називається модуляцією. Сигнали поділяють на неперервні та дискретні, низько - та високочастотні тощо.

fN=2f

Існує наступна класифікація сигналів, які описуються часової функцією y(t):

1. Неперервна функція неперервного аргументу в інтервалі часу 0 ? t ? tk (рис 3.2а).

2. Дискретна функція неперервного аргументу (рис. 3.2б). Значення, набуті функцією y(t), утворюють дискретний ряд чисел yi, де i = 1, 2, …, k. Значення аргументу може бути будь-яким у заданому інтервалі часу 0 ? t ? tk. Перетворення неперервної функції y(t) в дискретну множину значень yi називається квантуванням за рівнем.

3. Неперервна функція дискретного аргументу (рис. 3.2в). Значення функції y(t) визначаються тільки на дискретній множені tі, i = 1, 2, …, k. Функція y(tі) може набувати будь-яких значень у заданому діапазоні. Перетворення функції y(t) неперервного аргументу t в функцію y(tі) дискретного аргументу ti називається дискретизацією (квантуванням) у часі.

4. Дискретна функція дискретного аргументу (рис. 3.2 г). Значення, що приймаються функцією й аргументом, утворюють дискретні ряди чисел y0, y1, …, yk й t0, t1, …, tk.

1.4 Аналогова фільтрація

Фільтрація використовується для зменшення амплітуди визначених частотних складових сигналу. Фільтрація може бути як аналоговою або цифровою. Двома основними параметрами аналогової фільтрації являється ширина смуги пропускання і гранична частота. Смуга пропускання (bandwitch, passband) - це діапазон частот, що проходять фільтр без змін. Гранична частота або частота зрізу (cutoff frequency) - це частота, на якій амплітуда сигналу послаблюється в разів.

Фільтр низької частоти (ФНЧ, low pass filter) пропускає частоти нижче граничної частоти і послаблює компоненти з частотами вищі цього значення (anti-alias filter). Фільтр високої частоти (ФВЧ, high pass filter) пропускає високі частоти і послаблює низькі. Смугові фільтри (band pass filter) пропускає частотні компоненти, які знаходяться між двома граничними відсікаючими частотами.

Перед перетворенням сигналу з ВП у цифровий код проводиться його корекція по напрузі (амплітуді) і частоті.

Корекція по напрузі. Наприклад, діапазон зміни напруги багатьох ВП (0 .. 1 мВ), а діапазон вхідної напруги для АЦП (0 - 0,5В). У таких випадках використовують підсилювачі (ОП)

Коефіцієнт підсилення по напрузі

.

Рис. 3.3 Фільтр і підсилювач

Корекція по частоті

Частоти корисного сигналу звичайно лежать в діапазоні від щ0 до щ1. Крім корисного сигналу завжди присутній шум з широким діапазоном частот. Для підвищення відношення сигнал/шум використовують частотні фільтри (низьких і високих частот).

Довідка: ємнісний опір конденсатора

індуктивний опір

, де .

2. Цифро-аналогові перетворювачі

2.1 Загальна характеристика цифро-аналогових перетворювачів

Важливим етапом в багатьох процесів керування являється цифрово-аналогове перетворення - генерація аналогового сигналу з рівнем напруги, відповідним цифровому значенню на вході. Ідеальний цифро-аналоговий перетворювач (ЦАП, Digital-analog Converter, D/A converter - DAC) виробляє вихідний аналоговий сигнал, що лінійно залежить від n-бітного цифрового вхідного сигналу.

ЦАП призначені для перетворення цифрової інформації в аналогову форму у вигляді напруги (іноді струму). Використання - для керування виконавчими пристроями (принтери, монітори, крокові двигуни ..). Цифро-аналогове перетворення полягає в перетворенні вхідного n-розрядного коду N у пропорційні йому рівні напруг U(N),

U(N) = k N = k (Dn-12n-1 + .. Dі2і .. + .. D121 + D020),

де k - коефіцієнт пропорційності,

Dn-1, Dі, D1, D0 - розряди (біти: 0/1) n-розрядного коду N, 2і - вага і-го розряду .

Використовують ЦАП із сумуванням струмів і напруг, але частіше - з сумуванням струмів.

2.2 Схеми ЦАП із сумуванням струмів

Структура ЦАП містить резистивну або транзисторну матрицю для формування еталонних струмів; ключі для комутації струмів; операційний підсилювач (ОП) для перетворення суми вихідних струмів у вихідну напругу; допоміжні схеми калібрування та живлення.

Резистині матриці містять набір двійково-зважених резисторів (R2n, ... R21, R20,) або ланцюга резисторів номіналів (R, 2R).

Розглянемо схему з двійково-зваженими резисторами. У такій схемі опір резистора зменшується у 2 рази при переході від молодшого розряду до старшого, відповідно еталонні струми збільшуються у 2 рази.

Рис. 3.4 ЦАП з двійково-зваженими резисторами

Якщо R0=10 кОм, R1= 5 кОм, І0 = 10 мА, то І1 = 20 мА. (струми - на ОП або на землю)

Вхідний опір ОП дуже великий, тому струми сумуються незалежно:

IS = I0 D0 + I1 D1 + ... In-1 Dn-1 = I0 20 D0 + I0 21 D1 + .. In-1 2n-1 Dn-1. (струм - як вода)

Ключі пропускають або не пропускають струм і керуються станом бітів Di (0/1), 0 - не пропускають. Тоді вихідна напруга

U(N) = RОЗ IS = RОЗ = RОЗ I0 = RОЗ I0 N.

Тобто вихідна напруга пропорційна до вхідного двійкового коду.

Наприклад:

I0 = 0,05 мА, I1 = 0,1 мА, I2 = 0,2 мА, RОЗ=4 кОм,

2.3 Основні параметри ЦАП

Тип мікросхеми

Розрядність, n

Макс. відхилення , %

Час встановлення tвст, мкм

К427 ПА4

16

0,0015

20

К572 ПА2

12

0,025

15

К1108 ПА3

8

0,5

0,05

Діапазон зміни вихідної напруги (0 - Umax; 0 - 5В ) (іноді - від'ємні напруги, -2,5 .. 2,5В)

Umax UОП (1 - 2n).

Роздільна здатність h характеризується мінімальним квантом вихідної напруги, який відповідає зміні вхідного коду на 1:

h = UОП / (2n -1).

Час встановлення (швидкодія) - це інтервал часу від подачі вхідного коду до моменту встановлення вихідної напруги. ЦАП поділяються на прецизійні (< 0,1 % ) і швидкодіючі (tвст<100 нс).

Число розрядів n вхідного коду для різних типів ЦАП дорівнює від восьми до вісімнадцяти.

Абсолютна похибка перетворення рА - відхилення вихідної напруги від розрахунку в кінцевій точці характеристики перетворення. Типова похибка ЦАП не перевищує ±1/2 молодшого розряду.

Нелінійність рЛ, -- максимальне відхилення реальної характеристики перетворення від теоретичної (прямої лінії, що з'єднує точку нуля і мінімального вихідного сигналу).

3. Аналогово-цифрове перетворення

Для комп'ютерної обробки дискретні аналогові значення вимірювального сигналу, необхідно представити в цифровій формі, тобто виконати аналого-цифрове (AC, Analog-Digital - A/D) перетворення. Відповідний пристрій являється аналого-цифровим перетворювачем (АЦП, Analog-Digital Converter, ADC Converter - ADC). АЦП генерує двійкове слово - цифровий вихід - на основі аналогового сигналу. АЦП може працювати у відповідності з різними принципами: паралельне порівняння, покрокове наближення (апроксимація).

В АЦП, що працює по принципу порівняння (comparation), вхідне значення порівнюється з різними рівнями напруги, яка виробляється на основі вимірювальної опорної напруги і каскаду опорів. АЦП, що працює по принципу покрокового наближення (incremental approximation), побудований на основі ЦАП.

3.1 Аналого-цифрові перетворювачі послідовної лічби

Метод послідовної лічби із застосуванням АЦП заснований на урівноваженні вхідної напруги сумою еталонів, які підраховуються лічильником. Момент урівноваження визначається аналоговим компаратором. Схема АЦП послідовної лічби показана на рис. 3.5. а. У ній за сигналом "Пуск" RS-тригер переключається в стан "1" і дозволяє проходження імпульсів від генератора G через елемент І на вхід підсумовування двійкового лічильника СТ2.

3.2 Аналого-цифрові перетворювачі з порозрядним кодуванням

У схемі АЦП з порозрядним кодуванням {урівноваженням) вхідна напруга UВХ порівнюється стрибкоподібно з вихідною напругою UЦАП за певною програмою (рис.3.6).

3.3 Паралельні АЦП

В паралельних АЦП (наприклад, СА3306) сигнал порівнюється з опорними значеннями. Опорні напруги формуються за допомогою ввімкнених послідовно резисторів, утворюючих дільник. Точність перетворення дорівнює кроку квантування в середині діапазону і половині кроку по краям. Якщо напруга на вході дорівнює нулю, то не спрацює жоден компаратор. Якщо рівень вхідної напруги знаходиться між фіксованими значеннями опорної напруги, то спрацює молодший компаратор. Код, що формується компараторами, перетворюється шифратором у паралельний двійковий код відповідної розрядності. Кількість компараторів збільшується експоненційно: для n-розрядного АЦП потрібно 2n компараторів.

3.4 Основні параметри і характеристики аналого-цифрових перетворювачів

У АЦП використовують методи порозрядного кодування, послідовної лічби з двійковим інтегруванням і паралельного перетворення. Основними параметрами і характеристиками АЦП є:

· кількість розрядів п вихідного коду;

· роздільна здатність h - мінімальний квант вхідної напруги, за якої вихідний код змінюються на одиницю молодшого розряду;

· нелінійність рЛ - максимальне відхилення вихідного коду від розрахункового значення у всьому діапазоні шкали;

· абсолютна похибка рА - найбільше відхилення вихідного коду від розрахункового в кінцевій точці шкали;

· час перетворення tпр - інтервал від моменту початку перетворення до появи на виході сталого коду; часто замість tпр швидкодія АЦП характеризується частотою перетворення:

· діапазон і полярність вхідної напруги, число джерел живлення, струм споживання, можливість спільної роботи з мікропроцесорами.

У АЦП застосовуються такі методи перетворення:

· послідовної лічби (з використанням ЦАП або двотактним інтегруванням);

· порозрядного кодування (послідовного двійкового наближення);

· паралельної дії (зчитування);

· паралельно-послідовні (комбіновані).

Таблиця 3.2 Параметри АЦП

Тип мікросхеми

Розрядність, n

Максимальне відхилення, рЛД %

Час перетворення ТП , мкс

Технологія

Примітка

КР572ПВ3

К1107ПВ1

М1107ПВ6

К1108ПВ2

8

6

10

12

±0,75

±0,5

±1,5

±1

7,5

0,1

0,06

0,9

КМОН

Біполярна

Біполярна

Біполярна

МК, СМ

-

ФЗ, СМ

ФЗ

Швидкодія АЦП визначається, в основному, методом перетворення і елементною базою (ТТЛШ, ЕЗЛ, КМОН). Найбільшу швидкість мають АЦП паралельної дії на базі ЕЗЛ - елементів (tпр ? 20 нс). Перетворювачі за рівнями вихідних сигналів узгоджуються ТТЛШ-, ЕЗЛ- і КМОН-мікросхемами.

Точність і швидкодія

Точність віртуального приладу визначається не тільки кількістю цифр після коми, які виводиться на екран управляючою програмою. До речі, ці цифри можуть бути помилковими, якщо не вжиті деякі заходи метрологічного характеру. Одним з основних критеріїв є розрядність аналого-цифрового перетворювача. Цей параметр визначає ступінь роздільної здатності при вимірюваннях, тобто ту якнайменшу різницю між двома сусідніми значеннями, яку «відчуває» вимірювальний прилад. Наприклад, восьмирозрядний АЦП здатний формувати 28 або 256 різних значень вихідного сигналу (коду). Якщо його повна шкала складає 5 В, він зможе розрізнити два рівні вхідної напруги, відмінні приблизно на 20 мВ; це відповідає чутливості стрілочного гальванометра або більшості осцилографів. Простий розрахунок показує, що вхідна напруга 4 В може бути виміряна з точністю близько 0.5%, а напруга 100 мВ - лише з точністю близько 20%.

Тут проявляється відоме емпіричне правило «останньої третини шкали», яке, ймовірно, відоме всім користувачам аналогових мультиметрів і залишається таким же актуальним в цифрову епоху.

12-розрядний АЦП з можливістю формування на виході 212 - 4096 різних значень може виміряти напругу 4 В з точністю близько 0,03%, а 100 мВ - з точністю близько 1,2%. Зрозуміло, ці розрахунки вірні за умови, що всі електронні компоненти в АЦП мають допуски, відповідні вказаним величинам. Не варто, наприклад, розраховувати на середню точність 1% (що було б непогано) у вимірювальних ланцюгах, зібраних на звичних резисторах з допуском 5%.

3.5 Нове покоління АЦП

Головна особливість таких АЦП полягає в організації управління по одно- або двох-провідній послідовній шині (SPI, Microwire, I2С і т.п.), а не через паралельний інтерфейс, що вимагає наявності одного виводу мікросхеми на кожен розряд шини управління. Звичайно, такий спосіб передачі бітів даних один за іншим обмежує швидкість обміну інформацією, хоча і тут можна досягти швидкості передачі даних порядку 1 Мбіт/с. На практиці, з урахуванням властивостей і можливостей схем дискретизації і квантування, не варто розраховувати на подолання бар'єру в декілька десятків тисяч вимірювань в секунду, що в середньому відповідає частоті дискретизації 20 кГц. Таким чином, такі електронні компоненти не можна порівняти з надшвидкісними АЦП типа «flash» або «VIDEO», але вони проте відносяться до класу швидкодіючих АЦП. Тому при розрядності від 3 до 12 біт вони підходять для створення віртуальних вимірювальних приладів.

АЦП TLC 1549 - аналого-цифровий перетворювач МАХIM - 1243 виробництва компанії МАХІМ. Крім своїх відмінних характеристик, він цікавий тим, що належить до сімейства повністю взаємозамінних АЦП, яке включає 12-розрядну версію МАХ 1241, а також тим, що за допомогою простої програми його можна легко перемкнути в 8-розрядний режим.

Серед моделей, пропонованих у вигляді зовнішніх інтерфейсів, мініатюрні аналого-цифрові перетворювачі ADC 10 і ADC 12 компанії PICO Technology. Для них характерна простота застосування і доступна ціна. ADC 10 і ADC 12 представляють можливість додавання одного або декількох аналогових входів до будь-якого ПК.

Концепція, розроблена британською компанією PICO Technology, досить оригінальна: АЦП ADC 10 і ADC 12 виконані у вигляді простого роз'єму BD25. Підключення аналогового сигналу здійснюється за допомогою кабелю через роз'єм типа ВNС (СР50-73). Достатньо вставити один з цих приладів в роз'єм паралельного порту (LРТ1 або LРТ2), щоб перетворити останній в аналоговий вхід з діапазоном напруг від 0 до 5 В. Програма PICOSСОРЕ перетворює АЦП в цифровий вольтметр, осцилограф і аналізатор спектру.

Література

1. Бабич М.П. Жуков І.А. Комп'ютерна схемотехніка: Навчальний посібник. - К.: МК-Пресс, 2004. - 412 с.

2. Гелль П. Как превратить компьютер в измерительный комплекс. - М.: ДМК, 1999. - 144 с.

Размещено на Allbest.ru


Подобные документы

  • Введення аналогових сигналів в комп'ютер, перетворення вимірювальної інформації. Дискретизація сигналів, синхронізація за допомогою задаючого таймеру, визначення інтервалу дискретизації. Цифро-аналогові перетворювачі, основні параметри і характеристики.

    курсовая работа [424,8 K], добавлен 19.06.2010

  • Сучасні системи ЦОС будуються на основі процесорів цифрових сигналів (ПЦС). Сигнальними мікропроцесорами (СМП) або процесорами цифрових сигналів є спеціалізовані процесори, призначені для виконання алгоритмів цифрової обробки сигналів у реальному часі.

    лекция [80,1 K], добавлен 13.04.2008

  • Розробка спеціалізованої малої електронної обчислювальної машини, виконаної на основі контролера К1816ВЕ51. Проектування пам'яті, модуля клавіатури та індикації для корегування роботи машини. Перетворювання цифрових сигналів до аналогових та цифрових.

    курсовая работа [1,1 M], добавлен 12.11.2013

  • Розробка фільтру для обробки цифрових сигналів. Блок обробки реалізується на цифрових мікросхемах середньої ступені інтеграції. Аналіз вхідного сигналу, ідеального сигналу та шуму. Обґрунтування вибору фільтрів та алгоритму обробки вхідного сигналу.

    курсовая работа [504,4 K], добавлен 18.09.2010

  • Структура та галузі застосування систем цифрової обробки сигналів. Дискретне перетворення Фур’є. Швидкі алгоритми ортогональних тригонометричних перетворень. Особливості структурної організації пам’яті комп’ютерних систем цифрової обробки сигналів.

    лекция [924,7 K], добавлен 20.03.2011

  • Мова VHDL. Створення проекту для моделювання цифрових і аналогових схем. Синтез і моделювання комбінаційних пристроїв, заданих в табличній формі, за допомогою системи Active-HDL 6.1. Створення ієрархічних структур при проектуванні складних пристроїв.

    реферат [287,3 K], добавлен 14.02.2009

  • Позначення та розрахунок діодів, транзисторів, аналогових, цифрових та змішаних інтегральних схем, індикаторів, перетворюючих та керуючих елементів, приладів, базових, логічних і цифрових компонент бібліотеки елементів програми Electronics Workbench.

    методичка [1,3 M], добавлен 18.06.2010

  • Дослідження цифрових систем автоматичного керування. Типові вхідні сигнали. Моделювання цифрової та неперервної САК із використання MatLab. Результати обчислень в програмі MatLab. Збільшення періоду дискретизації цифрової системи автоматичного керування.

    лабораторная работа [173,7 K], добавлен 14.03.2009

  • Характеристика особливостей мікроконтролерів AVR сімейства Mega: пам'ять даних на основі РПЗПЕС, можливість захисту від читання і модифікації пам'яті програм. Аналіз проблем побудови цифрових пристроїв на МК та ПЛІС. Розгляд портів введення-виведення.

    курсовая работа [4,0 M], добавлен 05.12.2014

  • Синтез на основі поведінкового опису, виконаний розробниками на мові програмування класу HDL, як перспективний напрямок проектування цифрових пристроїв. Опис RISC-архітектури комп'ютерів. VHDL-модель прототипу RISC-комп'ютера. Основні модулі моделей.

    курсовая работа [1,1 M], добавлен 23.01.2014

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.