Перетворення аналогових та цифрових сигналів

Дослідження функціональних компонентів вхідного і вихідного інтерфейсів комп’ютера. Характеристика цифро-аналогових перетворювачів цифрової інформації. Розгляд особливостей аналого-цифрових перетворювачів послідовної лічби та з порозрядним кодуванням.

Рубрика Программирование, компьютеры и кибернетика
Предмет Інформатика та програмування
Вид реферат
Язык украинский
Прислал(а) incognito
Дата добавления 29.10.2014
Размер файла 58,7 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.


Подобные документы

  • Введення аналогових сигналів в комп'ютер, перетворення вимірювальної інформації. Дискретизація сигналів, синхронізація за допомогою задаючого таймеру, визначення інтервалу дискретизації. Цифро-аналогові перетворювачі, основні параметри і характеристики.

    курсовая работа [424,8 K], добавлен 19.06.2010

  • Сучасні системи ЦОС будуються на основі процесорів цифрових сигналів (ПЦС). Сигнальними мікропроцесорами (СМП) або процесорами цифрових сигналів є спеціалізовані процесори, призначені для виконання алгоритмів цифрової обробки сигналів у реальному часі.

    лекция [80,1 K], добавлен 13.04.2008

  • Розробка спеціалізованої малої електронної обчислювальної машини, виконаної на основі контролера К1816ВЕ51. Проектування пам'яті, модуля клавіатури та індикації для корегування роботи машини. Перетворювання цифрових сигналів до аналогових та цифрових.

    курсовая работа [1,1 M], добавлен 12.11.2013

  • Розробка фільтру для обробки цифрових сигналів. Блок обробки реалізується на цифрових мікросхемах середньої ступені інтеграції. Аналіз вхідного сигналу, ідеального сигналу та шуму. Обґрунтування вибору фільтрів та алгоритму обробки вхідного сигналу.

    курсовая работа [504,4 K], добавлен 18.09.2010

  • Структура та галузі застосування систем цифрової обробки сигналів. Дискретне перетворення Фур’є. Швидкі алгоритми ортогональних тригонометричних перетворень. Особливості структурної організації пам’яті комп’ютерних систем цифрової обробки сигналів.

    лекция [924,7 K], добавлен 20.03.2011

  • Мова VHDL. Створення проекту для моделювання цифрових і аналогових схем. Синтез і моделювання комбінаційних пристроїв, заданих в табличній формі, за допомогою системи Active-HDL 6.1. Створення ієрархічних структур при проектуванні складних пристроїв.

    реферат [287,3 K], добавлен 14.02.2009

  • Позначення та розрахунок діодів, транзисторів, аналогових, цифрових та змішаних інтегральних схем, індикаторів, перетворюючих та керуючих елементів, приладів, базових, логічних і цифрових компонент бібліотеки елементів програми Electronics Workbench.

    методичка [1,3 M], добавлен 18.06.2010

  • Дослідження цифрових систем автоматичного керування. Типові вхідні сигнали. Моделювання цифрової та неперервної САК із використання MatLab. Результати обчислень в програмі MatLab. Збільшення періоду дискретизації цифрової системи автоматичного керування.

    лабораторная работа [173,7 K], добавлен 14.03.2009

  • Характеристика особливостей мікроконтролерів AVR сімейства Mega: пам'ять даних на основі РПЗПЕС, можливість захисту від читання і модифікації пам'яті програм. Аналіз проблем побудови цифрових пристроїв на МК та ПЛІС. Розгляд портів введення-виведення.

    курсовая работа [4,0 M], добавлен 05.12.2014

  • Синтез на основі поведінкового опису, виконаний розробниками на мові програмування класу HDL, як перспективний напрямок проектування цифрових пристроїв. Опис RISC-архітектури комп'ютерів. VHDL-модель прототипу RISC-комп'ютера. Основні модулі моделей.

    курсовая работа [1,1 M], добавлен 23.01.2014

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.