Проектирование цифрового автомата

Алгоритм функционирования цифрового устройства. Описание его состояний. Вычисление функций переходов на основе индивидуальных параметров разработки. Составление полной таблицы функционирования аппарата и логических функций, описывающих его работу.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык русский
Дата добавления 19.01.2014
Размер файла 707,7 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Министерство образования и науки Российской Федерации

Новомосковский институт (филиал)

Федерального государственного бюджетного образовательного учреждения высшего профессионального образования

"Российский химико-технологический университет имени Д.И. Менделеева"

Кафедра "Вычислительная техника и информационные технологии"

Курсовая работа

по дисциплине: "Схемотехника"

на тему: "Проектирование цифрового автомата"

Выполнил: студент группы АС- 10-1

Самотохина И.О.

Зав. кафедрой Воробьев В.И.

Руководитель Прохоров В.С.

Н/контролер Котельникова М.Г.

г. Новомосковск - 2012 г.

Содержание

  • Введение
  • 1. Аналитический обзор
  • 1.1 Общие сведения о цифровых автоматах
  • 1.2 Краткий обзор электронных САПР
  • 1.3 Сущность процесса проектирования цифрового автомата
  • 1.4 MultiSim
  • 2. Проектирование цифрового автомата
  • 2.1 Алгоритм функционирования цифрового автомата
  • 2.2 Описание состояний цифрового автомата
  • 2.3 Вычисление функций переходов на основе индивидуальных параметров разработки
  • 2.4 Составление полной таблицы функционирования ЦА
  • 2.5 Составление логических функций, описывающих работу цифрового автомата
  • 2.6 Разработка функциональной и принципиальной электрической схемы цифрового автомата
  • 2.7 Разработка печатной платы в программе Ultiboard
  • Заключение
  • Список использованных источников
  • Приложение А
  • Приложение Б
  • Приложение В

Введение

Цифровая электроника в настоящее время все более и более вытесняет традиционную аналоговую. Ведущие фирмы, производящие самую разную электронную аппаратуру, все чаще заявляют о полном переходе на цифровую технологию. Причем это относится как к бытовой технике (аудио-видеоаппаратура, средства связи), так и к профессиональной технике (измерительная, управляющая аппаратура). Ставшие уже привычными персональные компьютеры также полностью реализованы на цифровой электронике. Видимо, в ближайшем будущем аналоговым устройствам будет отведена вспомогательная роль: они будут применяться в основном для связи цифровых систем с аналоговыми датчиками и аналоговыми исполнительными элементами.

Для обслуживания цифровой техники, тем более, для ее ремонта и разработки, требуются специалисты, досконально знающие принципы работы цифровых устройств и систем, базовые элементы цифровой электроники, типовые схемы их включения, правила взаимодействия цифровых узлов, способы построения наиболее типичных цифровых устройств. При этом в процессе подготовки таких специалистов необходимо учитывать следующие специфические особенности. Во-первых, цифровая техника не слишком сильно связана с аналоговой техникой и с физическими эффектами, используемыми в электронике. Отсюда следует, что специалист по цифровой схемотехнике совсем не обязательно должен быть классным специалистом по аналоговой технике и по физическим основам электроники. Строго говоря, такому специалисту не очень важно, на каких электронных компонентах и на каких физических принципах построена проектируемая система и ее элементы. Гораздо важнее логика ее работы и протоколы взаимодействия цифровых элементов, узлов и устройств, входящих в систему. Во-вторых, стать настоящим специалистом по разработке цифровых устройств и систем невозможно без овладения азбукой цифровой электроники. То есть разработчик обязан понимать логику работы таких базовых компонентов цифровой схемотехники, как логические элементы, буферы, триггеры, регистры, дешифраторы, мультиплексоры, счетчики, сумматоры, оперативная и постоянная память и т.д. Кроме того, он должен знать типовые схемы включения этих компонентов и правила их корректной работы.

Курсовая работа является итоговой работой по изучению курса "Схемотехника". Конечной целью изучения курса является освоение самостоятельного проектирования несложных дискретных устройств (цифрового автомата), для того, чтобы в дальнейшем успешно эксплуатировать более сложные, серийно выпускаемые микроконтроллеры, а также ремонтировать и модернизовать персональные компьютеры.

1. Аналитический обзор

Схемотехника - относительно молодая наука. но за последние годы она сделала сильный рывок вперед. Ежегодно появляется множество новых элементов микросхем, программ, моделирующих поведение готовых схем, изменяется процесс изготовления печатных плат, внедряются новые технологии в производство.

1.1 Общие сведения о цифровых автоматах

Термин "автомат", как правило, используется в двух аспектах. С одной стороны, автомат - устройство, выполняющее некоторые функции без непосредственного участия человека. В этом смысле мы говорим, что ЭВМ - автомат, так как после загрузки программы и исходных данных ЭВМ решает заданную задачу без участия человека. С другой стороны, термин "автомат" как математическое понятие обозначает математическую модель реальных технических автоматов. В этом аспекте автомат представляется как "черный ящик", имеющий конечное число входов и выходов и некоторое множество внутренних состояний, в которые он под воздействием входных сигналов переходит скачкообразно, т.е. практически мгновенно, минуя промежуточные состояния. В действительности это условие не выполняется, так как любой переходный процесс длится конечное время.

Автомат называется конечным, если множество его внутренних состояний и множество значений входных сигналов - конечные множества.

В практике часто используется понятие цифрового автомата, пот которым понимают устройство, предназначенное для преобразования цифровой информации. Входные сигналы в цифровых автоматах представляются в виде конечного множества мгновенных сигналов. Теоретически это означает, что входные сигналы не имеют длительности, хотя практически это не так. Все события должны относится к фиксированному времени t, поэтому такое допущение упрощает рассмотрение процессов, происходящих в автоматах. Условно также принимается, что число выходных сигналов y(t) конечно. И они возникают вследствие действия входных сигналов. Одновременно с появлением выходного сигнала меняется (скачкообразно) внутреннее состояние автомата.

Цифровой автомат называется правильным, если выходной сигнал определяется его внутренним состоянием (на данный или предыдущий момент времени) и не зависит от входных сигналов.

Понятие состояние автомата используется для описания систем, выходы которых зависят не только от входных сигналов в данный момент времени, но и от некоторой предыстории, т.е. сигналов, поступивших на входы системы ранее. Состояние автомата соответствует некоторой памяти о прошлом, позволяя устранить время как явную переменную и выразить выходные сигналы как функцию состояний и входных сигналов.

В цифровых автоматах помимо логических элементов имеются элементы памяти. Элементы памяти используются для того, чтобы фиксировать предыдущее состояние автомата. В качестве элементов памяти могут использоваться триггеры. Каждое внутреннее состояние цифрового автомата определяется исходным состоянием триггеров и последовательностью входных сигналов, действующих на входе в данный момент времени. Поэтому такие устройства называются последовательностными схемами. К последовательностным схемам можно отнести: триггеры, счетчики, регистры. В общем случае структурная схема цифрового автомата может быть представлена в виде набора трех узлов: комбинационной схемы формирования выходных сигналов, комбинационной схемы формирования сигналов управления триггерами и собственно памяти (рисунок 1.1).

Рисунок 1.1 - Управляющее устройство со схемной логикой

На вход комбинационной схемы управления триггерами поступают комбинации входных сигналов x1, х2,..., xк, комбинации сигналов, отражающих состояние элементов памяти Q1, Q3,..., Qm. С учетом этих множеств комбинационная схема формирует серии сигналов, управляющих состояниями триггеров. Кодовые комбинации из состояний триггеров образуют внутренние состояния цифрового автомата, которые принято обозначать буквой a.

Комбинационная схема формирования выходных сигналов формирует сигналы y1, у2 ..... уР. Они могут использоваться для управления какими-либо узлами, для активизации процессов в других схемах. Эти сигналы могут зависеть только от внутренних состояний - в этом случае устройство следует называть автоматом Мура. А если выходные сигналы зависят и от входных сигналов, то автоматом Мили.

Таким образом, для задания цифрового автомата необходимы три множества:

- множество входных сигналов: x1, х2,..., xк;

- множество выходных сигналов: y1, у2.....уР;

- множество внутренних состояний: a1, a3,..., az.

На указанных трех множествах задают две функции: функцию переходов и функцию выходов.

Последовательность действий автомата по формированию выходных сигналов и сигналов управления триггерами с учетом входных сигналов может быть задана с помощью алгоритма. Алгоритм фактически является формализованным представлением задачи по построению цифрового устройства, где определены группы выходных сигналов для инициализации устройств схемы (например, операционного устройства процессора) в зависимости от поступления тех или иных входных сигналов (х). Задавать цифровой автомат удобно с помощью графа. Графом называется непустое конечное множество узлов (вершин) вместе с множеством дуг (ветвей), соединяющих пары различных узлов. Граф обычно представляется в наглядной форме, при этом вершины изображаются точками или кругами, которые помечаются с целью идентификации, а ветви изображаются линиями, соединяющими соответствующе узлы. Если каждой дуге также приписало направление, то такой граф называется ориентированным. Если направления не указаны, то граф называется неориентированным. Данные представления полезны ввиду их наглядности. Вершины обычно соответствуют объектам некоторого вида (в цифровом автомате - внутренним состояниям), а дуги - физическим или логическим связям между ними. Таким образом, графы можно использовать для математического моделирования самых разнообразных систем и структур: электрических схем, вычислительных сетей и т.д.

1.2 Краткий обзор электронных САПР

Electronic Design Automation (EDA, автоматизация проектирования электронных приборов) - комплекс программных средств для облегчения разработки электронных устройств, создания микросхем и печатных плат. Комплекс позволяет создать принципиальную электрическую схему проектируемого устройства с помощью графического интерфейса, создавать и модифицировать базу радиоэлектронных компонентов, проверять целостность сигналов на ней. Введённая схема непосредственно или через промежуточный файл связей ("netlist") может быть преобразована в заготовку проектируемой печатной платы, с различной степенью автоматизации. Современные программные пакеты позволяют выполнить автоматическую расстановку элементов, и автоматически развести дорожки на чертеже многослойной печатной платы, соединяя тем самым выводы радиоэлектронных компонентов в соответствии с принципиальной схемой.

На данный момент набольшее распространение получили:

CircuitMaker - простейшая система моделирования фирмы Microcode Engineering (ныне принадлежит компании Protel), в которой приняты модели компонентов стандарта SPICE. Программа содержит обширную библиотеку моделей промышленных изделий электронных компонентов с возможностью оперативного просмотра их основных характеристик (например, для транзистора - это тип корпуса, максимальное напряжение, ток, частота, фирма-изготовитель и др.). Программа позволяет достаточно оперативно подготавливать электрические схемы аналоговых, цифровых или смешанных аналого-цифровых устройств и проводить их моделирование с получением результатов в виде осциллограмм сигналов и графиков частотных характеристик. Она интегрируется с программой разработки печатных плат TraxMaker.

OrCAD - интегрированный программный комплекс для сквозного проектирования аналоговых, цифровых и смешанных аналого-цифровых устройств, синтеза устройств программируемой логики и аналоговых фильтров. Проектирование начинается с ввода принципиальной схемы, ее моделирования и оптимизации и заканчивается созданием управляющих файлов для программаторов, разработкой печатной платы и выводом управляющих файлов для фотоплоттеров и сверлильных станков.

Protel DXP - Позволяет проводить все виды анализа из стандартного набора, предлагаемого любыми программами на базе ядра SPICE. По своим функциональным возможностям приближается к системе OrCAD. Позволяет выполнять проектирование аналоговых и аналого-цифровых устройств, в том числе задаваемых многостраничными принципиальными схемами иерархической структуры и схемами, содержащими самые современные ПЛИС, производить размещение (компоновку/трассировку) печатных плат с применением различных программ автотрассировки, проводить анализ целостности сигналов.

В курсовой работе используется комплекс Multisim созданный для образовательных целей.

1.3 Сущность процесса проектирования цифрового автомата

Абстрактный автомат с входным и выходным алфавитами индуцирует однозначное отображение множества слов во входном алфавите (входном алфавитном отображении) в множество слов в выходном алфавите (конечном алфавите). Отображения (как правило, частичные), индуцируемые абстрактными автоматами, называются автоматными отображениями.

Рассмотрим этапы решения задачи синтеза автоматов по индуцируемым ими отображениям.

Первый этап решения задачи состоит в том, что исходное (частичное) алфавитное отображение ? записывается в виде таблицы соответствия и приводится к автоматному виду с помощью применения операции выравнивания длин слов

При этом прежде чем использовать стандартную операцию выравнивания длин слов, производится ряд последовательных попыток приведения отображения к автоматному виду приписыванием к словам по возможности меньшего числа пустых букв с проверкой после каждой попытки выполнимости условий автоматности. Результатом первого этапа является сокращенная таблица соответствия автоматного отображения ш, полученная на основе исходного отображения ? после применения операции выравнивания длин слов.

Второй этап решения состоит в нахождении канонического множества событий, соответствующего отображению ш. Число событий канонического множества равно числу букв выходного алфавита Y = (y1,..., ym) отображения ш. Событие S(yi) этого множества, соответствующее выходной букве yi, строится следующим образом. Просматривая сокращенную таблицу соответствия отображения ш, выделяют все начальные отрезки выходных слов, оканчивающиеся буквой yi. Начальные отрезки входных слов отображения, соответствующие выделенным отрезкам, и составят событие S(yi) (i = 1,..., m). Результатом второго этапа является каноническое множество событий S(y1),..., S(ym) отображения ш. На этом этапе также контролируется правильность выполнения операций первого этапа. Если найденные события попарно не пересекаются, то только тогда отображение ш считается автоматным.

Третий этап решения состоит в нахождении возможно более простых регулярных выражений для найденных на предыдущем этапе событий S(y1),..., S(ym). При этом используют тождественные преобразования алгебры событий, а также возможность расширения событий подыскиванием для события S(yi) наиболее простого регулярного выражения и добавлением к нему произвольного множества запрещенных слов, т. е. таких слов, которые не содержатся ни в одном из исходных событий S(y1),..., S(ym). Найденное таким образом регулярное выражение события S(yi) для простоты будем обозначать через yi (i = 1,..., m). Отметим, что события, представленные простыми регулярными выражениями y1,..., ym, могут пересекаться между собой. Результатом третьего этапа является множество М всех найденных регулярных выражений y1,..., ym.

Четвертый этап решения состоит в синтезе конечного автомата, представляющего события R1,..., Rm, задаваемые регулярными выражениями y1,..., ym, посредством множеств своих выходных сигналов.

Процесс синтеза может производиться по двум основным вариантам.

По первому варианту синтеза с естественной областью запрета считаются запрещенными, во-первых, все те слова, у которых хотя бы один непустой начальный отрезок не содержится ни в одном из событий R1,..., Rm, и, во-вторых, все те слова, у которых хотя бы один непустой начальный отрезок (включая само слово) содержится одновременно в нескольких (более чем в одном) событиях.

Второй вариант применяется с учетом следующих особенностей. Этот вариант позволяет относительно просто произвести в таблице выходов автомата (обычной или сдвинутой) дифференциацию пустых выходных сигналов, отождествляя только некоторую часть из них с выходным сигналом y1 и производя замену остальных таких сигналов черточками.

В связи с тем, что состояния автомата требующегося для выполнения задачи не должны содержатся более чем в одном событии мы во воспользуемся первым вариантом синтеза автомата.

1.4 MultiSim

Разработка любого радиоэлектронного устройства включает физическое или математическое моделирование. Физическое моделирование связано с большими материальными затратами, так как требует изготовление макетов и их трудоемкое исследование. Иногда чисто физическое моделирование просто невозможно из-за сложности устройства. В этом случае прибегают к математическому моделированию с использованием средств и методов вычислительной техники.

Комплекс программ фирмы National Instruments с торговой маркой Electronics Workbench является одной из самых распространенных в мире систем автоматизированного проектирования электронной аппаратуры любого типа (аналоговой, цифровой, цифро-аналоговой и т.д.). Он сочетает в себе возможности используемых уже более 20 лет и поэтому широко известных электронных лабораторий Electronics Workbench и MicroCAP, инструментальной системы программирования на алгоритмическом языке проектирования электронных схем VHDL и системы проектирования печатных плат (пакет Ultiboard). По желанию пользователя эти возможности могут быть расширены за счет использования программного эмулятора лабораторного стенда Elvis фирмы National Instruments, а также системы проектирования программного обеспечения микроконтроллеров MultiMCU. Если состав предлагаемого для проектирования и исследования оборудования не удовлетворяет потребителя, он может самостоятельно дополнить его, воспользовавшись системой Labview. Комплекс позволяет эффективно реализовать основные этапы проектирования электронных схем, а именно: разработку схемы электрической принципиальной, ее моделирование, анализ и конструкторское воплощение в виде чертежа будущей печатной платы. При необходимости работа спроектированного изделия может быть проверена с привлечением реальных приборов и компонентов на лабораторном стенде NI Elvis.

2. Проектирование цифрового автомата

Обобщенная структурная схема ЦА содержит запоминающее устройство (ЗУ) (регистр, выполненный на триггерах) и два комбинационных устройства: для формирования сигналов управления триггерами КС 1 и для формирования требуемых выходных сигналов КС 2.

Размещено на http://www.allbest.ru/

Рисунок 2.1 - Полная структурная схема цифрового автомата: КС 1-комбинационное устройство управления регистром на триггерах; КС 2-комбинационная схема для формирования выходных сигналов; ЗУ-запоминающее устройство (регистр) на триггерах; W-сигнал сброса устройства; Ф-синхронизирующий сигнал внутри устройства

Так как ЦА должен работать совместно с другими устройствами (например, с устройствами вывода символов и т.п.), то целесообразно будет использовать внешний (по отношению к проектируемому устройству) генератор тактовых импульсов для синхронизации всех совместно работающих устройств (рисунок 2.1).

Требуется синтезировать цифровой автомат (ЦА), у которого на выходе "у" периодически появляются коды символов, образующих фамилию и инициалы студента. Каждый символ кодируется пятью битами согласно таблице 1: два старших разряда - номер строки, три младших - номер столбца.

Таблица 1 - Таблица кодов символов

0

1

2

3

4

5

6

7

0

-

А

Б

В

Г

Д

ЕЁ

Ж

1

З

И

Й

К

Л

М

Н

О

2

П

Р

С

Т

У

Ф

Ч

Ц

3

Ч

Ш

Щ

Ы

ЬЪ

Э

Ю

Я

Длина периода должна быть в пределах 9-16 символов. Если период меньше 9 символов, то можно написать имя полностью. Если период больше 16 символов, можно убрать пробелы или один-два инициала.

Размещено на http://www.allbest.ru/

Рисунок 2.2 - Обобщенная структурная схема ЦА

Представленные на рисунке 2.2 схемы КС 1, КС 2 должны быть выполнены на комбинационных микросхемах в логическом базисе И-НЕ (регистр выполняется на триггерах типа JK).

Последовательность состояний регистра должна образовывать арифметическую прогрессию с разностью, равной наименьшему простому числу, не являющимся делителем периода генерируемой последовательности.

2.1 Алгоритм функционирования цифрового автомата

По сигналу с дешифратора команд ДШ схема запуска формирует входной сигнал х, который принимает только два значения: х 1=0 (пауза в работе ЦА) и х 2=1 (запуск и работа ЦА). После завершения цикла вывода формируется сигнал W, который сбрасывает регистр на триггерах в 0 и запрещает подачу тактовых импульсов Ф на схемы ЦА до момента прихода импульса запуска ЦА с дешифратора команд (длина импульса запуска должна быть не меньше длины импульса синхронизации - для срабатывания схемы). цифровой алгоритм функционирование логическая

Составим в соответствии с заданием таблицу выходных значений (таблица 2):

Таблица 2 -Таблица выходных значений

Символы

С

А

М

О

Т

О

Х

И

Н

А

_

И

О

Восьмеричный код

22

01

15

17

23

17

26

11

16

01

00

11

17

Очевидно, что период функционирования цифрового автомата равен количеству символов, т. е. 13.

Каждый период (цикл) функционирования ЦА начинается в момент поступления на его вход сигнала запуска x(t)=1. При поступлении на его вход очередного тактового импульса Ф, максимальное число которых равно периоду функционирования автомата R=13, ЦА проходит ряд сменяющих друг друга состояний a(t) = al (l = 0, 1, 2, …, S). Число рабочих состояний равно S=13, а общее число состояний ЦА, включая исходное a0, равно s+1=14 и связано с максимальным числом рабочих тактов R соотношением S R (R=13).

Минимально необходимое количество триггеров для синтеза регистра (памяти) определяем из соотношения n log2(S + 1), N=4;

В соответствии с заданием последовательность состояний регистра должна состоять из вычетов по модулю R и образовывать арифметическую прогрессию с разностью, равной наименьшему простому числу, не являющемуся делителем числа R, где R - период генерируемой последовательности

В рассмотренном случае R = 13. Наименьшее простое число, не являющееся делителем 13, это 2. Поэтому состояния регистра будут изменяться в такой последовательности: 0, 2, 4, 6, 8, 10, 12, 1, 3, 5, 7, 9, 11…

Для синтеза КС 1 и КС 2 зададим таблично функцию переходов (таблица 3) и функцию выходов (таблица 4).

Таблица 3 - Таблица функций переходов

Предшествующее состояние автомата a(t)

Последующее состояние автомата a(t+1)

при x(t)=1

при x(t)=0

a0

0000

a 2

a 0

a 2

0010

a 4

a 0

a 4

0100

a 6

a 0

a 6

0110

a 8

a 0

a 8

1000

a 10

a 0

a 10

1010

a 12

a 0

a 12

1100

a 1

a 0

a 1

0001

a 3

a 0

a 3

0011

a 5

a 0

a 5

0101

a 7

a 0

a 7

0111

a 9

a 0

a 9

1001

a 11

a 0

a 11

1011

a 0

a 0

a 0

0000

a 0

a 0

Каждое состояние регистра отождествляется с записанным в триггеры n-разрядным двоичным числом. Так же каждому состоянию регистра соответствует цифровой двоичный код на выходе ЦА. Этот код получают из 8-ричного кода таблицы 1. При этом каждый символ кодируется пятью битами согласно таблице 2. Два старших разряда - номер строки, три младших - номер столбца.

Таблица 4 - Таблица функции выходов

Состояние автомата a(t)

Значения выходных сигналов y(t)

y1

y2

y3

y4

y5

W

a 0

0000

0

0

0

0

0

0

a 2

0010

0

0

0

0

0

0

a 4

0100

0

1

1

0

0

0

a 6

0110

1

1

1

0

0

0

a 8

1000

1

1

0

0

0

0

a 10

1010

1

0

1

1

0

0

a 12

1100

1

1

1

1

0

0

a 1

0001

0

0

1

1

0

0

a 3

0011

0

0

0

0

0

0

a 5

0101

0

0

0

1

0

0

a 7

0111

0

0

0

0

0

0

a 9

1001

0

0

0

1

0

0

a 11

1011

0

0

0

0

1

1

a 0

0000

0

0

0

0

0

0

Размещено на http://www.allbest.ru/

Рисунок 2.3 - Алгоритм функционирования ЦА, заданный с помощью графа

Алгоритм функционирования ЦА можно задать с помощью графа (рисунок 2.3), в котором номер состояния удобно обозначить числом, характеризующим состояние регистра, а также указать номер выхода в каждом состоянии, на котором формируется сигнал "1" (граф составляют по таблицам 4 и 5).

2.2 Описание состояний цифрового автомата

Цифровой автомат можно представить, как "черный ящик", имеющий конечное число входов и выходов и некоторое множество внутренних состояний Q ={a1(t), a2(t), …, an(t)}, в которые он под воздействием входных сигналов переходит скачкообразно. Выходные сигналы y(t) возникают в результате действия входных сигналов x(t), при этом одновременно с появлением выходного сигнала происходит скачкообразный переход автомата из состояния an(t) в состояние an+1(t).

Выходные сигналы зависят не только от входных сигналов в данный момент времени, но и от сигналов, которые поступили на входы автомата ранее, т.е. от состояния автомата в текущий момент.

Каждое состояние регистра отождествляется с записанным в триггеры 4-разрядным двоичным числом в соответствии с установленным выше правилом и может быть представлено в таблице 5.

Таблица 5 - Таблица состояний цифрового автомата

Состояние регистра

Сигналы QB(t) на прямых выходах триггеров T1, T2, T3, T4

Q1(t)

Q2(t)

Q3(t)

Q4(t)

a 0

0

0

0

0

a 2

0

0

1

0

a 4

0

1

0

0

a 6

0

1

1

0

a 8

1

0

0

0

a 10

1

0

1

0

a 12

1

1

0

0

a 1

0

0

0

1

a 3

0

0

1

1

a 5

0

1

0

1

a 7

0

1

1

1

a 9

1

0

0

1

a 11

1

0

1

1

2.3 Вычисление функций переходов на основе индивидуальных параметров разработки

В таблице 6 приведены состояния синхронного JK-триггера, который работает так, что:

1. Если такой триггер должен перейти из нуля в единицу, то к приходу счетного импульса на тактовый вход нужно обеспечить J=1, сигнал на входе K не влияет при этом на поведение триггера и может быть либо 0, либо 1, т.е. K=X;

2. Если триггер должен опрокинуться из 1 в 0, то K=1, J=X (сигнал на входе J не влияет при этом на поведение триггера);

3. Если требуется сохранить состояние 1, то необходимо к приходу счетного импульса установить K=0, J=X;

4. Если триггер должен остаться в состоянии 0, то нужно обеспечить J=0, K=X.

Таблица 6 - Состояния синхронного JK-триггера (минимизированная форма) при C=1

Jn

Kn

Qn+1

1

X

1

X

1

0

0

X

0 (сохр. 0)

X

0

1 (сохр. 1)

2.4 Составление полной таблицы функционирования ЦА

На основании таблицы состояний ЦА, таблицы переходов, таблицы выходов и информации о состояниях JK триггера составим полную таблицу функционирования синхронных JK триггеров (таблица 7).

В этой таблице указаны сигналы, которые подаются на управляющие входы триггеров для обеспечения перехода состояний регистра (сигналы управления триггерами).

К приходу очередного тактового (синхронизирующего) импульса на все четыре триггера регистра на управляющих входах этих триггерах должны существовать сигналы управления триггерами, обеспечивающие переход к следующему состоянию триггеров регистра.

Таким образом, для каждого состояния регистра необходимо найти сигналы на управляющих входах триггеров, обеспечивающих переход к следующему состоянию.

Таблица 7 - Полная таблица функционирования ЦА при построении ЗУ на JK-триггерах

Номер такта

Входной сиг-нал

Предшествующие состояние тригеров

Последующие состояния тригеров Q1+D

Сигналы управления

Выходные сигналы

Символ

Q1

Q2

Q3

Q4

Q1

Q2

Q3

Q4

J1

K1

J2

K2

J3

K3

J4

K4

y1

y2

y3

y4

y5

W

0

1

0

0

0

0

0

0

1

0

0

X

0

X

1

X

0

X

0

0

0

0

0

0

С

1

1

0

0

1

0

0

1

0

0

0

X

1

X

X

1

0

X

1

0

0

0

0

0

А

2

1

0

1

0

0

0

1

1

0

0

X

X

0

1

X

0

X

0

0

1

1

0

0

М

3

1

0

1

1

0

1

0

0

0

1

X

X

1

X

1

0

X

0

1

1

1

0

0

О

4

1

1

0

0

0

1

0

1

0

X

0

0

X

1

X

0

X

1

1

1

0

0

0

Т

5

1

1

0

1

0

1

1

0

0

X

0

1

X

X

1

0

X

0

1

0

1

1

0

О

6

1

1

1

0

0

0

0

0

1

X

1

X

1

0

X

1

X

0

1

1

1

1

0

Х

7

1

0

0

0

1

0

0

1

1

0

X

0

X

1

X

X

0

0

0

0

1

1

0

И

8

1

0

0

1

1

0

1

0

1

0

X

1

X

X

1

X

0

0

0

0

0

0

0

Н

9

1

0

1

0

1

0

1

1

1

0

X

X

0

1

X

X

0

0

0

0

0

1

0

А

10

1

0

1

1

1

1

0

0

1

1

X

X

1

X

1

X

0

0

0

0

0

0

0

_

11

1

1

0

0

1

1

0

1

1

X

0

0

X

1

X

X

0

0

0

0

0

1

0

И

12

1

1

0

1

1

0

0

0

0

X

1

0

X

X

1

X

1

0

0

0

0

0

1

О

2.5 Составление логических функций, описывающих работу цифрового автомата

Минимизацию логических функций, определяющих каждый из управляющих сигналов триггеров КС 1 по данным Таблицы 7 проведем с помощью логического преобразователя Logic Converter из программы-симулятора MultiSIM.

Результат минимизации логических функций (рисунки 2.4-2.11)

Рисунок 2.4 - Минимизация логической функции для управления сигналами триггера K1

Рисунок 2.5 - Минимизация логической функции для управления сигналами триггера J1

Рисунок 2.6 - Минимизация логической функции для управления сигналами триггера J2

Рисунок 2.7 - Минимизация логической функции для управления сигналами триггера K2

Рисунок 2.8 - Минимизация логической функции для управления сигналами триггера J3

Рисунок 2.9 - Минимизация логической функции для управления сигналами триггера K3

Рисунок 2.10 - Минимизация логической функции для управления сигналами триггера J4

Рисунок 2.11 - Минимизация логической функции для управления сигналами триггера K4

Произведем минимизацию логических функций КС 2, используя также Logic Converter из программы-симулятора MultiSIM.

Результат минимизации логических функций (рисунки 2.12-2.16).

Рисунок 2.12 - Минимизация логической функции для управления сигналами триггера Y1

Рисунок 2.13 - Минимизация логической функции для управления сигналами триггера Y2

Рисунок 2.14 - Минимизация логической функции для управления сигналами триггера Y3

Рисунок 2.15 - Минимизация логической функции для управления сигналами триггера Y4

Рисунок 2.16 - Минимизация логической функции для управления сигналами триггера Y5

2.6 Разработка функциональной и принципиальной электрической схемы цифрового автомата

Минимизированные логические функции для КС 1 и КС 2 используют для построения функциональной схемы ЦА.

Для упрощения схемы ЦА анализируются минимизированные логические функции для КС 1 и КС 2 и определяются одинаковые логические функции.

Принципиальная электрическая схема ЦА разрабатывается на реальных элементах из библиотеки компонентов Multisim на основе полученной ранее функциональной схемы. У реальных элементов в отличие от виртуальных есть определенное, неизменяемое значение и свое соответствие на печатной плате. Виртуальные элементы нужны только для эмуляции на этапе проверки правильности функционирования функциональной схемы.

На основе функциональной схемы ЦА строится её принципиальная электрическая схема на выбранной серии цифровых интегральных схем.

При выборе микросхем для построения принципиальной электрической цифрового автомата в первую очередь следует учитывать их электрическую совместимость: по напряжениям логических "0" и "1"; по напряжениям питания; по допустимым значениям тока на входах и т.д.

Для данной работы были выбраны следующие интегральные микросхемы:

7408N (2, 3, 4-х входовых элементов И);

7432N (2, 3-х, 5 входовых элементов ИЛИ);

7473N (JK-триггер).

2.7 Разработка печатной платы в программе Ultiboard

UltiBoard 10.1 - простая в использовании и интуитивно понятная платформа разработки печатных плат. Этот модуль позволяет пройти все стадии разработки: от расположения элементов до соединения их проводниками с минимальными усилиями и временными затратами. Модуль содержит множество полезных функций, таких как автоматическая прокладка проводников по оптимальному маршруту.

Уникальные возможности разводки, три мощных метода включают "gridless follow- me", в котором путь провода следует точно пути вашей мыши, "connection machine" который автоматически проводит одиночную линию простым щелчком на кнопку, "start on a ratsnest", позволяющий начать разводку в любом месте сетки. Четвертый метод применяется только в Ultiboard 8 "magnetic attraction at pads" - виртуальное рисование линии к конечной точке с автоматическим завершением разводки.

Контроль ошибок, включает функцию "jump-to-error" программы, позволяющую найти место в разводке, где возникла проблема и функция "Real-Time Design Rule -Check" немедленно предупреждающую об ошибке визуальным выделением (цвет, круг и так далее) точно в том месте, где произошла ошибка.

Механический САПР, только Ultiboard 8 содержит мощный модуль механического САПРа, достаточный для создания лицевых панелей, корпусов и других механических деталей с автоматическим выравниванием и размещением соединений с платами.

После разработки и отладки принципиальной схемы в симуляторе MultiSim необходимо разработать печатную плату для ЦА.

Проще всего осуществить импорт данных в Ultiboard 10.1 из программы-симулятора. Для этого выбираем соответствующий пункт меню программы: "Трансляция" "Передать в Ultiboard 10.1".

Схема будет передана в пакет Ultiboard 10.1, где в основном окне будет изображен макет печатной платы. Однако такой она представлена быть не может, её сначала нужно протрассировать, для чего выбираем соответствующий пункт меню "Автотрассировка" --> "Запуск просмотр автотрассировки".

Заключение

Проектирование устройств ЭВМ - сложный и трудоёмкий творческий процесс, который систематизирует, закрепляет и совершенствует теоретические знания, позволяя проявить свои творческие способности в области синтеза узлов ЭВМ.

В данной работе было спроектировано устройство управления синхронным цифровым автоматом и исследована возможность применения средств автоматизированного проектирования для решения конкретных прикладных задач. Показано, что САПР могут с успехом применяться в схемотехнике, значительно сокращая количество времени, необходимое на разработку всего пакета технической документации для проекта. Следует отметить, что прикладная теория цифровых автоматов постоянно развивается, так как непрерывно совершенствуются сами цифровые автоматы, т.е. электронные вычислительные машины. Появляются новые методы и алгоритмы выполнения арифметических операций. Изменяются также методы анализа и синтеза отдельных устройств ЭВМ. Процесс проектирования ЭВМ сегодня может выполняться автоматизированным способом. Развитие идет в направлении полной автоматизации процессов проектирования и изготовления ЭВМ, что становится возможным благодаря мощным специализированным программным средствам.

Разработан цифровой автомат, цикл работы которого составляет 14 тактов, выводящий на каждом такте на соответствующий выход логический сигнал, формируя, таким образом, восьмеричный код символов фамилии студента.

Для составления комбинационных схем применялись элементы логики И-НЕ, в качестве триггеров были выбраны JK-триггеры, иерархический блок.

В процессе выполнения проекта мною была проведена значительная работа по определению и минимизации логических функций, построению функциональных и принципиальных схем, подбору элементной базы и расчётам надёжности, также был проведен анализ возможных неисправностей и технологии их устранения, в результате чего я получил более глубокие знания в области схемотехники,

Использование цифровых автоматов я представляю возможным в системах обработки информации и управления разнообразными технологическими процессами.

Список использованных источников

1. Основы анализа и синтеза комбинационных логических устройств: Методические указания / Под редакцией В.И. Воробьева; РХТУ им. Д.И. Менделеева, Новомосковский ин-т; Сост.: B.C. Прохоров. - Новомосковск, НИ РХТУ им Д.И. Менделеева, 2001. - 78 с.

2. Типовые логические схемы последовательностного типа. Методические указания / НИ РХТУ им. Д.И. Менделеева. Сост.: В.С. Прохоров, под редакцией В.И. Воробьева, Новомосковск, 2003, 27 с.

3. Проектирование цифрового автомата: Методические указания к курсовой работе/Под редакцией В.И. Воробьева; РХТУ им. Д.И. Менделеева, Новомосковский ин-т; Сост.: В.С. Прохоров. Новомосковск, 2001. - 24 с.

4. Баранов С.И. Синтез микропрограммных автоматов. - Л.: Энергия, 1979. -152 с.

5. Карлащук В.И. Электронная лаборатория на IBM PC. Лабораторный практикум на базе Electronics Workbench и MATLAB. Издание 5-е. М.: СОЛОН-Пресс, 2004. 800 с.: ил. (Серия "Системы проектирования").

6. Марк Е. Хернитер. Multisim 7. Современная система компьютерного моделирования.

7. Токхейм Р. Основы цифровой электроники: Пер. с анл. - М.: Мир, 1988. - 372 с.: ил.

8. Новиков Ю.В. Основы цифровой схемотехники. Базовые элементы и схемы. Методы проектирования. - М.: Мир, 2001. - 379 с.: ил.

9. Кардашев Г.А. Цифровая электроника на персональном компьютере Electronics Work bench и Micro - Cap. - М.: Горячая линия - Телеком, 2003. - 311 с.: ил.

Приложение А

Полная таблица функционирования цифрового автомата

Номер такта

Входной сигнал

Предшествующие состояние тригеров

Последующие состояния тригеров Q1+D

Сигналы управления

Выходные сигналы

Символ

Q1

Q2

Q3

Q4

Q1

Q2

Q3

Q4

J1

K1

J2

K2

J3

K3

J4

K4

y1

y2

y3

y4

y5

W

0

1

0

0

0

0

0

0

1

0

0

X

0

X

1

X

0

X

0

0

0

0

0

0

С

1

1

0

0

1

0

0

1

0

0

0

X

1

X

X

1

0

X

1

0

0

0

0

0

А

2

1

0

1

0

0

0

1

1

0

0

X

X

0

1

X

0

X

0

0

1

1

0

0

М

3

1

0

1

1

0

1

0

0

0

1

X

X

1

X

1

0

X

0

1

1

1

0

0

О

4

1

1

0

0

0

1

0

1

0

X

0

0

X

1

X

0

X

1

1

1

0

0

0

Т

5

1

1

0

1

0

1

1

0

0

X

0

1

X

X

1

0

X

0

1

0

1

1

0

О

6

1

1

1

0

0

0

0

0

1

X

1

X

1

0

X

1

X

0

1

1

1

1

0

Х

7

1

0

0

0

1

0

0

1

1

0

X

0

X

1

X

X

0

0

0

0

1

1

0

И

8

1

0

0

1

1

0

1

0

1

0

X

1

X

X

1

X

0

0

0

0

0

0

0

Н

9

1

0

1

0

1

0

1

1

1

0

X

X

0

1

X

X

0

0

0

0

0

1

0

А

10

1

0

1

1

1

1

0

0

1

1

X

X

1

X

1

X

0

0

0

0

0

0

0

_

11

1

1

0

0

1

1

0

1

1

X

0

0

X

1

X

X

0

0

0

0

0

1

0

И

12

1

1

0

1

1

0

0

0

0

X

1

0

X

X

1

X

1

0

0

0

0

0

1

О

Приложение Б

Принципиальная электрическая схема

Приложение В

Печатная плата

Рисунок 1.1 - Управляющее устройство со схемной логикой

Размещено на http://www.allbest.ru/

Рисунок 2.1 - Полная структурная схема цифрового автомата

Размещено на http://www.allbest.ru/

Рисунок 2.2 - Обобщенная структурная схема ЦА

Рисунок 2.3 - Алгоритм функционирования ЦА, заданный с помощью графа

Размещено на Allbest.ru


Подобные документы

  • Исследование структурной схемы цифрового автомата и операционного устройства. Алгоритм функционирования цифрового автомата в микрооперациях. Кодирование его состояний. Характеристика функций возбуждения триггеров и формирования управляющих сигналов.

    курсовая работа [3,6 M], добавлен 06.12.2013

  • Расчет схемы цифрового автомата, функционирующего в соответствии с заданным алгоритмом. Кодирование состояний. Составление таблицы функционирования комбинационного узла автомата. Запись логических выражений. Описание выбранного дешифратора и триггера.

    курсовая работа [423,4 K], добавлен 18.04.2011

  • Проектирование цифровых и логических схем, как основных узлов судовых управляющих и контролирующих систем. Основные компоненты структурной схемы и алгоритм функционирования цифрового регистрирующего устройства. Синтез и минимизация логических схем.

    курсовая работа [31,0 K], добавлен 13.05.2009

  • Проектирование цифровых автоматов Мили и Мура с памятью в булевом базисе по заданной ГСА. Составление частично структурированной таблицы переходов-выходов. Построение функций выходов, логической схемы автомата. Особенности его экспериментальной проверки.

    курсовая работа [628,7 K], добавлен 14.07.2012

  • Основные понятия о цифровом устройстве и главные принципы его построения. Этапы разработки цифрового автомата по алгоритму функционирования. Выбор микросхем, их учет и расчет мощности, потребляемой автоматом. Исследование цифрового автомата на переходе.

    курсовая работа [1,0 M], добавлен 09.10.2009

  • Синтез цифрового аппарата Мура с D-триггером по заданному графу микропрограммы автомата. Функции прибора: ввод, вывод, хранение информации, выполнение микроопераций и вычисление логических условий. Составление эскиза. Синтез комбинационной схемы.

    курсовая работа [58,3 K], добавлен 15.12.2010

  • Построение графа синтезируемого автомата. Определение количества элементов памяти. Составление таблицы переходов, выходов и возбуждения конечного автомата. Переход от исходного автомата Мили к эквивалентному автомату Мура. Алгоритмы вычисления функций.

    курсовая работа [714,7 K], добавлен 21.05.2013

  • Разработка и описание общего алгоритма функционирования цифрового режекторного фильтра на основе микропроцессорной системы. Обоснование аппаратной части устройства. Отладка программы на языке команд микропроцессора. Расчёт быстродействия и устойчивости.

    курсовая работа [266,1 K], добавлен 03.12.2010

  • Разработка общего алгоритма и функционирования цифрового фильтра. Составление и описание электрической принципиальной схемы устройства, расчет его быстродействия. Листинг программного модуля вычисления выходного отсчета. Оценка устойчивости устройства.

    курсовая работа [236,2 K], добавлен 03.12.2010

  • Структурная схема и синтез цифрового автомата. Построение алгоритма, графа и таблицы его функционирования в микрокомандах. Кодирование состояний автомата. Функции возбуждения триггеров и формирования управляющих сигналов. Схема управляющего устройства.

    курсовая работа [789,4 K], добавлен 25.11.2010

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.