Система контроля и позиционирования антенны

Структурная схема разрабатываемой микропроцессорной системы. Назначение и принцип работы микропроцессора, генератора тактовых импульсов, шинного формирователя, системного контроллера, декодера. Адресация элементов и программа инициализации системы.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык русский
Дата добавления 01.11.2012
Размер файла 146,0 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

ГОСУДАРСТВЕННОЕ ОБРАЗОВАТЕЛЬНОЕ УЧРЕЖДЕНИЕ

ВЫСШЕГО ПРОФЕССИОНАЛЬНОГО ОБРАЗОВАНИЯ

ДОНСКОЙ ГОСУДАРСТВЕННЫЙ ТЕХНИЧЕСКИЙ УНИВЕРСИТЕТ

Кафедра «Приборостроение»

Курсовой проект

По дисциплине «Микропроцессоры и ЭВМ»

Тема «Система контроля и позиционирования антенны»

Содержание

1. Задание

2. Структура платы

3. Описание схем

3.1 Микропроцессор CPU

3.2 Генератор тактовых импульсов G

3.3 Шинный формирователь

3.4 Системный контроллер SC

3.5 Оперативное запоминающее устройство RAM

3.6 Постоянное запоминающее устройство ROM

3.7 Декодер DMX

3.8 Программируемый периферийный адаптер PPI

3.9 Программируемый контроллер прерываний PIC

3.10 Универсальный синхронный/асинхронный программируемый приёмопередатчик

4. Адресация элементов

4.1 Постоянное запоминающее устройство RОM

4.2 Оперативное запоминающее устройство RАM

4.3 Программируемый периферийный адаптер PPI

4.4 Программируемый контроллер прерываний PIC

4.5 Универсальный синхронно-асинхронный программируемый приёмопередатчик USART

5. Программа инициализации

1. Задание

Вариант 8.2:

Для системы контроля вращения и позиционирования антенны, использующей восьмиразрядный цифровой преобразователь угла (ЦПУ), разработайте плату микропроцессора и программу, обеспечивающие:

Определение скорости вращения антенны (град/сек) и передачу информации в центральную ЭВМ по её команде в последовательном коде.

Передаточное отношение между антенной и ЦПУ-2.

2. Структура платы

Микропроцессорные системы представляют собой совокупность больших интегральных схем (БИС), реализующих различные функции и связанных между собой внешними электрическими магистралями (шинами), по которым происходит обмен информации. Структурная схема разрабатываемой МП-системы представлена на рисунке 2.1.

Рис. 2.1 МП система контроля вращения и позиционирования антенны

В этой системе можно выделить следующие основные элементы:

- генератор тактовых импульсов ГТИ;

- блок микропроцессора МП;

- запоминающие устройства для хранения программ и данных: ПЗУ - постоянное запоминающее устройство и ОЗУ - оперативное запоминающее устройство;

- интерфейсы ввода-вывода информации в МП систему: ППА - программируемый периферийный, используется в качестве портов параллельного обмена информацией, без каких либо внешних логических схем, и УСАПП - универсальный синхронно-асинхронный программируемый приёмопередатчик, служит для последовательного ввода и вывода данных в МП систему и из МП системы;

- программируемый контроллер прерываний (ПКП) для обработки сигналов прерывания и запросов на обслуживание устройств ввода-вывода;

Данные с цифрового преобразователя угла и датчика положения антенны поступают в параллельном коде на ППА. Данные на центральную ЭВМ и с неё передаются по последовательному интерфейсу при помощи УСАПП.

Все устройства МП системы связаны между собой с помощью сопряжений, называемых интерфейсами и представляющих собой совокупность технических (ИС, провода, шины) и программных (сигналы и программ управления обменом информацией) средств.

3. Описание схем

3.1 Микропроцессор CPU

Основными элементами МП КР580ВИ80 являются арифметико-логическое устройство (АЛУ) и устройство управления (УУ). Информация в МП поступает через шины, эта информация обрабатывается в соответствии с последовательностью команд, записанных в схемах памяти (ЗУ). Для получения кода команды, которую необходимо исполнить, МП формирует на шине адреса (ША) адрес ячейки памяти, в которой она записана, а на шине управления (ШУ) - управляющие сигналы, обеспечивающие в ЗУ режим считывания информации. Считанный из ЗУ код команды поступает по шине данных (ШД) в МП, где он записывается в регистр команд, расшифровывается и в соответствии с записанным кодом выполняются микрооперации, необходимые для реализации команды.

Для многих команд требуются процедуры обмена информацией не только с ЗУ, но и с разнообразными внешними устройствами ввода-вывода. При реализации обмена данными МП формирует на ША адрес ячейки ЗУ или адрес внешнего устройства, к которому он обращается. Одновременно МП по ШУ формирует управляющие сигналы, настраивающие подключаемое устройство на необходимый режим обмена информацией (запись, считывание, хранение и т.д.).

После формирования кода адреса и управляющих сигналов по ШД передается информация. Эта информация преобразуется в МП в соответствии с кодом команды, записанной в его регистре команд. Для этого УУ МП формирует управляющие сигналы на АЛУ. Одновременно с выполнением текущей команды УУ МП формирует адресный код следующей команды в регистре адреса.

Согласование характеристик сигналов, передаваемых по системным шинам, с внутренними сигналами различных блоков и МП осуществляется интерфейсными схемами соответствующих устройств.

Программный счетчик (РС) используется для хранения адреса выполняемой команды. Содержание РС изменяется автоматически после выполнения команды, указывая адрес следующей команды. Указатель стека содержит адрес ячейки памяти, где записан адрес первой команды, которую необходимо выполнить после отработки подпрограммы прерывания.

Обрабатываемые числовые данные, коды операций и результаты вычислений вводятся и выводятся по одной и той же ШД. Чтобы различать назначение информации, передаваемой по ШД, используется строго определенная последовательность выполнения команд, которая задается импульсами F1 и F2 генератора тактовых импульсов. Тактовая частота для процессора КР580ВМ80А - до 2,5 МГц.

3.2 Генератор тактовых импульсов G

КР580ГФ24 - микросхема специализированного генератора тактовых импульсов (ГТИ), предназначенная для совместной работы с CPU КР580ВМ80А.

Микросхема формирует: тактовые сигналы F1 и F2 с взаимосмещёнными фронтами; тактовый сигнал F2', по уровню синхронизированный с сигналом F2; сигнал STSTB «Строб состояния», который, поступая на системный контроллер, фиксирует состояние шины данных микропроцессора; сигнал RESET «Установка».

Генератор опорной частоты при подключении к выводам X1 и X2 кварцевого резонатора обеспечивает высокую стабильность частоты, определяемую основной частотой возбуждения кварцевого резонатора, резонансная частота которого должна быть в 9 раз больше выбранной тактовой частоты микропроцессора.

Выход генератора опорной частоты выведен на внешний вывод OSC и соединён внутри микросхемы со счетчиком-делителем, входящим в состав тактового генератора.

Инверсный сигнал STB, длительность которого равна одному периоду частоты опорного генератора, формируется микросхемой КР580ГФ24 при поступлении на ее вход с микропроцессора КР580ВМ80А сигнала SYN «Синхронизация», свидетельствующего о начале машинного цикла. При поступлении входного сигнала RESIN микросхема КР580ГФ24 вырабатывает сигнал RESET, синхронизированный с тактовым сигналом F2. По сигналу RESET осуществляется установка в исходное состояние различных устройств микропроцессорной системы.

3.3 Шинный формирователь

Микросхема КР580ВА86 - двунаправленный 8-разрядный шинный формирователь, предназначенный для обмена данными между микропроцессором и системной шиной; обладает повышенной нагрузочной способностью.

Каждая микросхема состоит из восьми одинаковых функциональных блоков и схемы управления. При помощи схемы управления производиться разрешение передачи (управление 3-м состоянием выхода) и выбор направления передачи данных.

В зависимости от состояния управляющих сигналов ОЕ и ТF микросхемы могут работать в режиме передачи данных или в режиме «выключено»:

при ОЕ = 0, Т = 1 - направление передачи ;

при ОЕ = 0, Т = 0 - направление передачи ;

при ОЕ = 0, выходы каналов А и В переводятся в высокоимпедансное состояние.

Так как адресная шина 16-разрядная и однонаправленная, то соответственно в буфере используются две БИС, а на управляющие входы TF подан сигнал высокого уровня (логической «1»).

3.4 Системный контроллер SC

Системный контроллер КР580ВК38 выполняет роль буфера ШД и формирует сигналы управления для устройств памяти и устройств ввода-вывода. В качестве SC в МП-системе используется БИС КР580ВК38.

Часть сигналов управления передаётся из МП по ШД, эти сигналы управления фиксируются системным контроллером в начале каждого цикла команды по сигналу строба STSTB. Три сигнала управления: HLDA, DBIN и WR подаются на системный контроллер от МП. На основе принятых сигналов SC вырабатывает управляющие сигналы: MEMW - запись в ячейку памяти; IOW - запись в устройство ввода-вывода; MEMR - чтение из памяти; IOR - чтение из УВВ; INTA - подтверждение прерывания; BUSEN - внешний сигнал разрешения магистралей, подаваемый в режиме прямого доступа к памяти и переводящий выходы системного контроллера в высокоимпедансное состояние.

3.5 Оперативное запоминающее устройство RAM

ОЗУ К537РУ10 используется для введения в процессор ЭВМ новых данных и программ, а также для хранения текущих результатов или данных, полученных в процессе работы. Информация, занесённая пользователем, может быть стёрта, заменена полностью или изменена при необходимости, в зависимости от решаемой задачи. В разрабатываемой МП-системе используется БИС ОЗУ типа К537РУ10. Данная микросхема хранит 2 КБайт информации.

Выбор нужной ячейки памяти производится с помощью 11 адресных сигналов . Микросхема имеет следующие входы: CS - выбор кристалла; OE - разрешение вывода; W/R - запись или чтение.

В МП-системе ОЗУ работает как в режиме чтения, так и в режиме записи информации.

3.6 Постоянное запоминающее устройство ROM

ПЗУ К573РФ2 - это устройство, из которого можно считывать только заранее записанную информацию. Она заносится в ПЗУ в процессе изготовления и в последствие не изменяется. В разрабатываемой МП-системе используется БИС ОЗУ типа К573РФ2. Данная микросхема хранит 2 КБайт информации. В отличие от ОЗУ, ПЗУ работает только в режиме чтения информации.

3.7 Декодер DMX

Адрес устройства, которому МП разрешает работать с шиной данных, в микро-ЭВМ задаётся тремя старшими разрядами (13,14,15) шины адреса. Наиболее удобен для такого выбора дешифратор К555ИД7, имеющий 3 входа и 8 выходов. Схема имеет также 3 управляющих входа Дешифрация происходит, когда на входах - логический «0», а на входе - логическая «1». При других сочетаниях сигналов на управляющих входах все выходы имеют уровень напряжения логической «1».

3.8 Программируемый периферийный адаптер PPI

ППА КР580ВВ55А используется в качестве портов параллельного обмена информацией без каких-либо внешних дополнительных логических схем. Логический «0» на входе CS разрешает информационную связь МП с ППА. При отсутствии данного сигнала и одного из сигналов RD или WR линии данных находятся в высокоомном состоянии. В составе ППА имеется 3 канала А, В и С, образующих 8-разрядные порты с различными характеристиками. Функциональное назначение каналов определяется кодом управляющего слова, загружаемого в регистр управления адаптера. Выбор одного из каналов управляющего слова осуществляется с помощью адресных входов А1 и А0, подключенных к младшим разрядам ША. Направление обмена задают управляющими сигналами, поступающими на вход RD и WR.

Структура управляющего слова представлена в таблице 3.1

Таблица 3.1 Управляющее слово ППА

D7

D6

D5

D4

D3

D2

D1

D0

HEX

1

0

0

1

1

0

1

1

9B

Содержимое разрядов D6, D5 и D2 соответствует нулевому режиму работу канала А, В, старшего и младшего подканала С. В этом режиме осуществляется обмен данными с внешним устройством через каналы А, В, Смл, Сст без управляющих сигналов о готовности к работе и без подтверждения возможности обмена. Данные, выводимые из МП по командам вывода, фиксируются в соответствующих регистрах ППА, а затем по линиям связи передаются во ВУ. Эти данные хранятся в ППА до выполнения очередной команды вывода, после чего записанная в портах информация обновляется. В режиме ввода данных каналы ППА работают без запоминания.

Содержимое разрядов D4, D3, D1 и D0 говорит о том, что каналы А, В и старший и младший подканал С запрограммированы на ввод данных.

3.9 Программируемый контроллер прерываний PIC

Программируемый контроллер прерываний КР580ВИ59 позволяет свести до минимума аппаратные затраты на реализацию системы прерываний и обеспечивает широкое разнообразие выполняемых функций, задаваемых программно. Один контроллер может обеспечивать обработку восьми запросов на прерывание. Путём каскадного включения контроллеров число обрабатываемых прерываний может быть увеличено до 64.

При поступлении на вход запросов на прерывания IR7-IR0 одного из сигналов ПКП вырабатывает код команды CALL и два байта адреса, что обеспечивает переход на программу обработки прерывания.

Таблица 3.2 УСИ-1

D7

D6

D5

D4

D3

D2

D1

D0

HEX

0

0

0

1

0

1

1

0

16

Таблица 3.3 УСИ-2

D7

D6

D5

D4

D3

D2

D1

D0

HEX

0

0

0

0

0

1

1

0

06

Слово УСИ-1 определяет последовательность инициализации, которая должна выполняться с запретом прерываний. Под воздействием этого УС сбрасываются все регистры, входу IR0 присваивается наивысший приоритет, а входу IR7 - низший. По умолчанию ПКП вводится в режим векторного прерывания с фиксированными приоритетами. При этом режиме каждому входу запросов прерывания присваивается фиксированный приоритет в порядке возрастания, запрос с более высоким приоритетом прерывает обслуживание прерывания с меньшим приоритетом.

В разрядах D7-D5 УСИ-1 расположены старшие разряды младшего байта адреса подпрограммы (А7=0, А6=0, А5=0). Сигнал высокого логического уровня в разряде D2 соответствует формату таблицы переходов - интервал 4 байта. И так как в разрабатываемой МП-системе всего один ПКП, в разряде D1 данного УС будет так же сигнал высокого логического уровня.

Слово УСИ-2 представляет собой старший байт начального адреса расположения подпрограмм обслуживания прерываний в массиве памяти.

3.10 Универсальный синхронный/асинхронный программируемый приёмопередатчик

Микросхема КР580ВВ51А представляет собой устройство последовательного ввода-вывода информации, которое принимает от центрального процессора информацию в параллельном виде по ШД и преобразует её в поток данных для передачи в последовательном формате. При этом устройство извещает процессор о готовности принять новый байт данных для передачи или о приёме байта для процессора.

Назначение некоторых выводов:

- выбор режима чтения или записи данных или управления. Уровень «0» указывает на возможность записи или считывания данных, уровень «1» разрешает считывание слова состояния или запись УС;

TxD - передача данных на линию связи;

- сигнал синхронизации передатчика, управляет скоростью передачи символов;

RxD - передача данных на линию связи;

- сигнал синхронизации приёмника;

RxRDY - готовность приёмника;

TxRDY - готовность передатчика;

- запрос о готовности передатчика передать данные;

- готовность передатчика передать данные;

- запрос приёмника на приём данных;

- готовность приёмника на приём данных.

Режим работы УСАПП определяется форматом управляющего слова (Таблица 3.4)

Таблица 3.4 УСРР при асинхронном режиме работы

D7

D6

D5

D4

D3

D2

D1

D0

HEX

0

1

1

1

1

1

1

0

7E

С помощью УС режима работы задаются следующие параметры:

D7, D6 - количество стоповых бит (1 бит);

D5 - вид контроля (контроль чётности);

D4 - наличие контроля (контроль есть);

D3, D2 - число информационных бит (восемь);

D1, D0 - частота синхронизации ().

4. Адресация элементов

4.1 Постоянное запоминающее устройство RОM

микропроцессор генератор контроллер декодер

Таблица 4.1 Адресация ПЗУ

А

15

14

13

12

11

10

9

8

7

6

5

4

3

2

1

0

Н-код

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

=0000

0

0

0

0

0

1

1

1

1

1

1

1

1

1

1

1

=07FF

4.2 Оперативное запоминающее устройство RАM

Таблица 4.2 Адресация ОЗУ

А

15

14

13

12

11

10

9

8

7

6

5

4

3

2

1

0

Н-код

0

0

0

0

1

0

0

0

0

0

0

0

0

0

0

0

=0800

0

0

0

0

1

1

1

1

1

1

1

1

1

1

1

1

=0FFF

4.3 Программируемый периферийный адаптер PPI

Таблица 4.3 Адресация ППА

А

15

14

13

12

11

10

9

8

Н-код

7

6

5

4

3

2

1

0

Порт А

0

0

0

1

0

х

0

0

= 10

Порт В

0

0

0

1

0

х

0

1

= 11

Порт С

0

0

0

1

0

х

1

0

= 12

РУС

0

0

0

1

0

х

1

1

= 13

4.4 Программируемый контроллер прерываний PIC

Таблица 4.4 Адресация ПКП

А

15

14

13

12

11

10

9

8

Н-код

7

6

5

4

3

2

1

0

УСИ-1

0

0

1

0

0

х

0

0

= 20

УСИ-2

0

0

1

0

0

х

0

1

= 21

4.5 Универсальный синхронно-асинхронный программируемый приёмопередатчик USART

Таблица 4.5 Адресация УСАПП

А

15

14

13

12

11

10

9

8

Н-код

7

6

5

4

3

2

1

0

УСРР

0

0

0

1

1

x

x

x

= 18

5. Программа инициализации

Программа инициализации МП системы приведена в таблице 5.1

Таблица 5.1 Программа инициализации

Адрес

Код

Метка

Мнемокод

Операнд

Комментарий

0000

FB

DI

Запрет прерываний

0001

3E

MVI A

9B

Загрузка УС в ППА

0002

9B

0003

D3

OUT

13

0004

13

0005

3E

MVI A

16

Загрузка УСИ-1 в ПКП

0006

16

0007

D3

OUT

20

0008

20

0009

3E

MVI A

06

Загрузка УСИ-2 в ПКП

000A

06

000B

D3

OUT

21

000C

21

000E

3E

MVI A

7E

Загрузка УСРР в УСАПП

000D

7E

000F

D3

OUT

18

0010

18

0011

FB

EI

Разрешение прерываний

Размещено на Allbest.ru


Подобные документы

  • Этапы проектирования микропроцессорной системы для контроля переданной информации использованием модифицированного кода Хемминга. Назначение микропроцессорного комплекта, генератора тактовых импульсов. Разработка аппаратной и программной части системы.

    курсовая работа [576,2 K], добавлен 21.01.2011

  • Система цифровой обработки информации среднего быстродействия. Назначение, состав, принцип работы отдельных блоков и устройств. Расчет потребляемой мощности микропроцессорной системы. Способы адресации данных. Процесс инициализации внешних устройств.

    курсовая работа [1,1 M], добавлен 27.05.2013

  • Особенности разработки устройства, которое обеспечивает прием данных, их обработку и вывод на индикацию. Выбор микропроцессора по функциональным возможностям и быстродействию работы, генератора тактовых импульсов, контроллера прямого доступа к памяти.

    контрольная работа [655,7 K], добавлен 08.06.2014

  • Принципиальная схема генератора пачек импульсов и перечень его элементов, разработка алгоритма и программы функционирования. Обзор архитектуры AT90S2313 и система его команд. Моделирование работы генератора пачек импульсов с помощью Visual Micro Lab.

    курсовая работа [1,2 M], добавлен 06.06.2011

  • Порядок и обоснование выбора микропроцессора, схема его подключения. Организация ввода-вывода и памяти микропроцессора. Разработка и апробация программного обеспечения на базе восьмиразрядного МП Z80. Методы повышения частоты работы микропроцессора.

    курсовая работа [735,7 K], добавлен 03.01.2010

  • Аппаратные принципы построения устройств микропроцессорной техники и приобретение практических навыков по разработке микропроцессорных систем. Техническая характеристика микропроцессора ATmega и анализ микросхемы памяти. Схема микропроцессорной системы.

    курсовая работа [1,6 M], добавлен 19.11.2011

  • Расчет и проектирование управляемого формирователя импульсов, используя заданные входные и выходные параметры. Структурная схема управляемого формирователя импульса и расчет его конструктивных частей: усилителя, мультивибратора, цифрового устройства.

    контрольная работа [157,3 K], добавлен 20.10.2011

  • Синтез распределителя импульсов на двух вариантах триггеров с выбором наилучшего из них по критерию "минимум аппаратных затрат". Построение схемы обнуления по включению питания. Расчет генератора тактовых импульсов. Построение временных диаграмм работы.

    автореферат [279,5 K], добавлен 09.06.2013

  • Общее описание микропроцессорной системы: генератор тактовых импульсов, системный контроллер, шинный формирователь шины адреса, оперативное запоминающее устройство. Синтез электрической принципиальной схемы. Карта распределения адресного пространства.

    курсовая работа [1,2 M], добавлен 13.10.2013

  • Рассмотрение аппаратных принципов построения устройств микропроцессорной техники и их программного обеспечения. Структурная схема микропроцессора К1821ВМ85А. Карта распределения адресного пространства памяти. Расчет потребляемой устройством мощности.

    курсовая работа [2,4 M], добавлен 26.11.2012

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.