Разработка системы микропроцессорной автоблокировки

Роль микропроцессорной автоблокировки в регулировании движения поездов. Технические характеристики датчиков и исполнительных устройств, разработка их принципиальных электрических схем. Реализация программного обеспечения, расчет показателей безопасности.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык русский
Дата добавления 28.05.2012
Размер файла 1,5 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Белорусский государственный университет транспорта

Кафедра «Микропроцессорная техника и информационно-управляющие системы»

Курсовой проект

по дисциплине "Микропроцессорные информационно-управляющие системы"

на тему "Разработка системы микропроцессорной автоблокировки"

Выполнил

студент группы ЭМ-52

Юрченко К.Е.

Проверил

доцент Харлап С.Н.

Гомель 2006

Содержание

Введение

1. Описание схемных решений и алгоритмов работы существующей релейной системы

1.1 Назначение и принципы построения

1.2 Технические характеристики датчиков и исполнительных устройств

1.3 Алгоритм работы и принципы обеспечения безопасности движения поездов

2. Разработка структурной схемы

2.1 Обоснование выбора технических средств автоматизации, датчиков и исполнительных устройств

2.2 Описание структурной схемы и поведения системы при отказах

3. Разработка принципиальных электрических схем

3.1 Разработка принципиальной схемы вычислительного канала

3.2 Разработка принципиальных электрических схем устройств сравнения и контроля

3.3 Разработка принципиальной электрической схемы устройств сопряжения с исполнительными объектами

4. Разработка алгоритмов и программного обеспечения

5. Разработка подсистемы диагностики и блокировки в защитном состоянии

6. Расчет показателей безотказности и безопасности системы

Заключение

Список литературы

Приложения

Введение

В современных условиях наиболее распространенными в эксплуатации системами железнодорожной автоматики и телемеханики (СЖАТ) остаются релейные системы, которые удовлетворяют большинству технических и функциональных требований, предъявляемых к таким системам. В 80-е годы были разработаны новые релейные системы, принятые к типовому проектированию.

Однако релейной технике присущи недостатки, которые ограничивают ее применение в современных СЖАТ. Область этих ограничений расширяется. К недостаткам относятся: невысокое быстродействие, большие размеры, большая материалоемкость и значительный расход дефицитных материалов, невысокая надежность. Поэтому интегрировать их в комплекс устройств управления движением, который во все большей мере основывается на системах передачи информации, можно только за счет высоких затрат.

Решение данной проблемы возможно при использовании микроэлектронной, микропроцессорной и компьютерной техники для построения СЖАТ. С начала 80-х годов разрабатываются и внедряются на железных дорогах мира новые системы микропроцессорных и компьютерных централизаций стрелок и сигналов, диспетчерских централизаций, микроэлектронные и микропроцессорные системы интервального регулирования движения поездов и другие СЖАТ. По сравнению с релейными системами современные микропроцессорные СЖАТ имеют следующие преимущества: резервирование технических средств, обеспечивающее надежное функционирование системы при выходе из строя отдельных компонентов; построение системы из отдельных независимых модулей, что позволяет резко снизить затраты на их размещение; более высокая эксплуатационная готовность системы; более простое техническое обслуживание и в связи с этим меньшие эксплуатационный расходы. Поэтому микроэлектронная, микропроцессорная и компьютерная техника определяет на ближайшее будущее развитие средств железнодорожной автоматики и телемеханики.

Целью данного курсового проекта является разработка системы микропроцессорной автоблокировки.

1. Описание схемных решений и алгоритмов работы существующей релейной системы

1.1 Назначение и принципы построения

Автоблокировка является одним из самых совершенных средств регулирования движения поездов.

При автоблокировке перегоны между станциями разделяют на блок-участки, каждый из которых ограждают проходным светофором. Правом на занятие блок-участка поездом является разрешающее сигнальное показание ограждающего его светофора. Минимальная длина блок-участка определяется протяженностью тормозного пути наиболее высокоскоростного поезда, обращающегося на данном участке, и должна быть не менее 1 тысячи метров. Нормально проходные светофоры имеют разрешающее показание, входные и выходные светофоры - запрещающее. Автоматическая смена показаний проходных светофоров при движении поезда достигается за счет устройства рельсовых цепей в пределах каждого блок-участка.

На железных дорогах преимущественное распространение получила трехзначная система сигнализации (красный, желтый и зеленый огни). Кроме того, на участках при движении на них поездов с различными скоростями и весом применяют четырехзначную сигнализацию с четвертым сигнальным показанием - желтый и зеленый горящие огни.

При трех- и четырехзначных системах сигнализации показание каждого проходного светофора зависит от состояния соответственно двух или трех впереди расположенных блок-участков. Эта взаимосвязь осуществляется по проводным линейным цепям или кодовым рельсовым цепям. При четырехзначной сигнализации каждый светофор дает четыре сигнальных показания, зависящие от состояния трех блок-участков, расположенных за светофором, а именно: один зеленый огонь - впереди свободны не менее трех блок-участков; желтый и зеленый огни, одновременно горящие, - впереди свободны два блок участка; один желтый огонь - впереди свободен один блок-участок, красный огонь - стой.

На двухпутных участках железных дорог поезда движутся по каждому пути в одном направлении. Применяемая при этом система автоблокировки получила название односторонней.

На однопутных линиях осуществляется двустороннее движение поездов. Автоблокировка, применяемая в этих случаях, называется двусторонней.

1.2 Технические характеристики датчиков и исполнительных устройств

В проектируемой микропроцессорной автоблокировке датчиками входной информации являются путевое реле, коды АЛС. Объекты управления: светофор контролируемого блок-участка и трансмиттерное реле для формирования кода АЛС для следующего блок участка.

Технические характеристики путевого реле. Реле нейтральное, штепсельное, постоянного тока. Имеет две обмотки, соединенные последовательно, сопротивление каждой обмотки составляет 400 Ом. Напряжение отпускания якоря реле составляет 2,5 В, напряжение притяжения якоря - 8,0 В.

Коды АЛС представлены на рисунке 1.

Рисунок 1. - Коды АЛС

В качестве объектов управления на светофоре являются лампы. Для зеленой и желтой лампы используются лампы типа ЖС12-15, номинальное напряжение - 12 В, мощность - 15 Вт. Для красной лампы используется ЖС 12-15+15, номинальное напряжение 12 В, мощность основной и резервной спирали - 15 Вт.

В качестве трансмиттерного реле используется трансмиттерная ячейка ТР-3В. Напряжение срабатывания составляет 7,5 В, напряжение отпускания - 2,5 В, сопротивление обмотки 100±10%. Амплитуда выходных сигналов составляет 8 В.

1.3 Алгоритм работы и принципы обеспечения безопасности движения поездов

Наиболее распространенные односторонние системы автоблокировки - импульсно-проводные.

Рельсовые цепи при этой системе получают питание через контакт трансмиттера МТ от путевой батареи ПБ, работающей в буфере с путевым выпрямителем ПВ. Контакт МТ переключается с такой частотой, при которой в рельсовую цепь посылается 100 импульсов в минуту. На релейном конце эти импульсы воздействуют на импульсное путевое реле ИР.

Реле ИР управляет релейно-конденсаторным дешифратором. В интервале между импульсами тока через тыловой контакт реле ИР заряжается конденсатор С1. При очередном импульсе конденсатор С1 разряжается на обмотку путевого реле ПР и конденсатор С2. Реле ПР притягивает якорь и при следующем интервале остается под током за счет разряда конденсатора С2. Если нахождение тылового контакта реле ИР в замкнутом состоянии затягивается, что имеет место при занятии поездом рельсовой цепи или при ее повреждении, то после разряда конденсатора С2 реле ПР отпускает свой якорь.

В некоторых случаях под действием блуждающих и наведенных токов контакт импульсного реле может длительное время находится в замкнутом состоянии. При этом путевое реле после разряда конденсаторов С1 и С2 также отпустит якорь и включит на светофоре красный огонь. Таким образом, импульсные рельсовые цепи осуществляют защиту автоблокировки от возможности появления на светофорах, ограждающих занятые блок-участки, разрешающих показаний как следствие появления в рельсовых цепях постоянных токов. Дроссель ОС исключает срабатывание реле ПР при случайном попадании в его обмотку переменного тока.

Рисунок 2. - Рельсовая цепь односторонней автоблокировки

Нити сигнальных ламп светофоров находятся в холодном состоянии при отсутствии на перегоне поездов, так как они включаются последовательно с высокоомными катушками огневых реле. Однако ток в этих цепях достаточен для притяжения якорей огневых реле, что является свидетельством целостности нитей светофорных ламп. При перегорании лампы светофора, сигнальные показания переносятся на впереди расположенный светофор.

Железнодорожные участки, оборудованные автоблокировкой, дополняются автоматической локомотивной сигнализацией. С этой целью импульсные рельсовые цепи постоянного тока имеют устройства кодирования. К ним относятся: кодовый трансформатор КТ, трансмиттерное реле ТР и кодовый трансмиттер КПТ. Эти приборы при свободности рельсовой цепи контактами путевого реле ПР выключены. При этом тыловым контактом реле ТР к рельсовой цепи подключено импульсное путевое реле ИР.

Рисунок 3. - Работа трансмиттерного реле

При занятии поездом рельсовой цепи путевое реле ПР лишается тока и включает кодовый трансформатор КТ и двигатель трансмиттера КПТ. Трансмиттерное реле подключается к контактной системе кодового трансмиттера. Реле ТР через соответствующий контакт КПТ получает импульсное питание, характер которого зависит от сигнального показания светофора.

Для передачи информации о состоянии датчиков и передачи сигналов управления используются уже существующие линии связи.

В курсовом проекте используются только путевое реле, лампы светофоров, а также трансмиттерное реле, на которое передаются сигналы управления для выработки кодов АЛС.

2. Разработка структурной схемы

2.1 Обоснование выбора технических средств автоматизации, датчиков и исполнительных устройств

Микропроцессорную систему управления реализуем на микроконтроллере PIC16F873, который обладает:

ь низким энергопотреблением;

ь высокоскоростной RISC архитектурой;

ь 8к FLASH памяти;

ь 368 байт памяти данных (ОЗУ), на основе которой можно реализовать блоки сравнения и хранения кодов;

ь 24 программируемых линий ввода-вывода;

ь 14 источников сигналов прерываний;

ь позволяет выбрать параметры тактового генератора;

ь широким диапазоном напряжений питания от 2В до 5,5В;

ь диапазон рабочих частот до 20МГц;

ь все команды выполняются за один цикл;

ь двумя 8-разрядными и одним 16-разрядным таймерами, с помощью которых можно установить длительность сигналов АЛС;

Архитектура основана на концепции раздельных шин и областей памяти для данных и для команд (Гарвардская архитектура). Шина данных и память данных (ОЗУ) - имеют ширину 8 бит, а программная шина и программная память (ПЗУ) имеют ширину 14 бит. Такая концепция обеспечивает простую, но мощную систему команд, разработанную так, что битовые, байтовые и регистровые операции работают с высокой скоростью и с перекрытием по времени выборок команд и циклов выполнения. 14-битовая ширина программной памяти обеспечивает выборку 14-битовой команды в один цикл. Двухступенчатый конвейер обеспечивает одновременную выборку и исполнение команды.

Все команды выполняются за один цикл, исключая команды переходов, которые выполняются за два цикла.

2.2 Описание структурной схемы и поведения системы при отказах

В основу разрабатываемой безопасной МИУС положена структура самопроверяемой дублированной системы. Такая система состоит из двух вычислительных каналов (ВК1 и ВК2) (рисунок 1), построенных в виде самопроверяемых устройств. Каждый вычислительный канал представляет собой микроконтроллер с программой. Также на схеме присутствует самопроверяемая схема внутреннего контроля (ССВК) и безопасная схема сравнения (БСС). Кроме этого в схеме присутствуют и устройство сопряжения (УСО Х) и объект управления (УО). Для сопряжения с путевым реле применяется безопасные схемы ввода релейной информации, а для сопряжения поступающих в микроконтроллер кодов АЛС - умножитель напряжения. Объектами управления являются лампы светофора и трансмиттерное реле.

Рисунок 4. - Структурная схема разрабатываемой МЦ

На рисунке 2 приведена структурная схема разрабатываемой дублированной самопроверяемой системы.

Самопроверяемая дублированная система состоит из двух каналов построенных в виде самопроверяемых устройств.

Выходные сигналы Z1 и Z2 сравниваются безопасной схемой включения реле. При совпадении сигналов формируется управляющее воздействие на управляемый объект.

Сигналы контроля W1 и W2, формируемые микроконтроллерами, сравниваются в ССВК3. При обнаружении ошибки ССВК1 вырабатывает сигнал Y, который переводит безопасную схему сравнения в защитное состояние (выключает ее).

Самоконтроль каналов может быть аппаратный и программный. Возможно использование независимых (диверситетных) программ в каждом процессоре.

Достоинствами данной структуры являются: высокая глубина контроля вычислительных каналов; высокая безопасность. Недостатками структуры являются: сложность определения критериев правильной работы системы для реализации ССВК; невозможность обнаружения ошибок в программном обеспечении при использовании одинаковых программ; сложность реализации системы, особенно при использовании диверситетных вычислительных каналов; невысокая эксплуатационная готовность, т.к. любой отказ переводит систему в нерабочее защитное состояние.

3. Разработка принципиальных электрических схем

Общая принципиальная электрическая схема микропроцессорной системы автоблокировки приведена в приложении А.

3.1 Разработка принципиальной схемы вычислительного канала

В качестве вычислительных каналов в проектируемой микропроцессорной автоблокировке используются два микроконтроллера PIC16F873.

Цоколевка микроконтроллера PIC16F873 приведена на рисунке 5:

Рисунок 5. - Цоколевка микросхемы PIC16F873

Назначение выводов:

· / VPP- вход сброса микроконтроллера/выбор 12В при программировании;

· RA0-RA5 - выводы порта RА:

· VSS - общий вывод;

· OSC1/CLKIN,OSC2/CLKOUT - вход и выход тактового генератора/внешнего тактового сигнала;

· RС0-RC7 - выводы порта RC:

· RB0-RB7 - выводы порта RB;

· VDD - вывод положительного питания;

· AN0-AN4 - входы АЦП;

· VREF+, VREF- - выходы программируемого источника опорного напряжения;

· TOCKI, T1CKI - входы тактового сигнала таймеров 0,1;

· TOOSO,TOOSI,T1OSO, T1OSI - входы/выходы генераторов таймеров 0 и 1;

· ССР1, ССР2 - выводы блока захвата и сравнения ШИМ;

· CK - тактовый сигнал блока USART;

· DT - сигнал данных USART в синхронном режиме;

· RX, TX - выводы приемника/передатчика в асинхронном режиме USART;

· SCK, SDI, SDO, SS - выводы синхронного последовательно порта SPI;

· SCL - контакт, относящийся к модулю совместимости с шиной I2C;

· INT - вход внешнего прерывания;

· PGD, PGC, PGM - соответственно вывод данных, тактовый вход, ввод данных в режиме программирования;

Рассчитаем необходимое число портов ввода-вывода.

Таблица 1. - Общее количество выводов микроконтроллера

Подключаемые устройства

Количество выводов

Путевое реле

2

Код АЛС

1

Лампы контролируемого светофора (зеленый, желтый, красный)

9

Трансмиттерное реле

1

Схема ССВК

7

Сигнал включения

1

Итого

22 вывода (RA0-RA5, RB0-RB7, RC0-RC7)

Кроме этого необходимо обеспечить синхронизацию микропроцессорной системы

Для формирования тактового сигнала микроконтроллера предусмотрен внутренний генератор. Тактовый сигнал необходим для выполнения инструкций микроконтроллера и работы периферийных модулей. Внутренний машинный цикл микроконтроллера состоит из четырех периодов тактового сигнала. Тактовый генератор микроконтроллера может работать в одном из восьми режимов. Режим работы тактового генератора определяется битами в слове конфигурации, расположенными в энергонезависимой памяти. Настроить биты конфигурации можно только при программировании микроконтроллера.

Различные режимы тактового генератора позволяют использовать один тип микроконтроллеров в приложениях с разными требованиями к генератору. RC режим генератора снижает стоимость устройства, а LP режим генератора имеет меньшее энергопотребление. С помощью битов конфигурации устанавливается требуемый режим тактового генератора.

Выберем режим работы тактового генератора. В режиме тактового генератора HS кварцевый резонатор подключается к выводам OSC1, OSC2. В этом режиме для предотвращения возбуждения генератора на низкой частоте применяется резистор.

Рисунок 6. - Подключение кварцевого резонатора

Выбираем значения емкостей для кварцевого резонатора, при этом следует помнить, что большая емкость увеличивает стабильность генератора, но увеличивается и время запуска. В режиме HS тактовая частота генератора будет составлять 20 МГц. Значения емкостей конденсаторов составят 33 пФ. Значение сопротивления резистора RS равно 100кОм.

Кроме того, после отключения микроконтроллера необходимо предусмотреть включение канала, а также светодиод для индикации включения. Питание микроконтроллера подается на вход VDD. Схема включения канала представлена на следующем рисунке:

Рисунок 7. - Схема включения вычислительных каналов

На схеме обозначен светодиоды HL1, HL2 марки АЛ307БМ и нагрузочные резисторы для обеспечения нормального горения светодиода, R1=R47=470 Ом.

При нажатой кнопке через некоторое время микроконтроллер выполняет свою инициализацию, по готовности к работе он выставляет сигналы, два из которых подаются на самопроверяемую схему внутреннего контроля. Если сигналы с микроконтроллеров будут одинаковыми, то произойдет включение реле К, контакты которого замкнут основную цепь питания микроконтроллера. Также после инициализации микроконтроллер PIC1 выставляет на своем выводе сигнал, который зажигает светодиод HL1, после этого кнопку SB1 можно отпустить. Светодиод HL2 будет гореть, если микропроцессорная система выключена.

3.2 Разработка принципиальных электрических схем устройств сравнения и контроля

Самопроверяемая схема внутреннего контроля представлена на рисунке:

Рисунок 8. - Самопроверяемая схема внутреннего контроля

В данной системе применяется стратегия повторного запуска фиксирующего элемента, что позволяет повысить устойчивость системы относительно сбоев. Если в результате случайного сбоя на входе устройства контроля нарушается парафазность сигнала, то блокируются триггеры ПТ1 и ПТ2, на выходах парафазного триггера ПТ2 устанавливается непарафазный сигнал, что приводит к выработке запроса на прерывание в микроконтроллер, который формирует сигнал восстановления. Если к моменту его формирования на вход поступает разрешенная кодовая комбинация, то парафазный триггер ПТ1 сбрасывается и возобновляется нормальная работа схемы. Если к моменту формирования сигнала на вход все еще поступает неразрешенная кодовая комбинация, то происходит выключение всей системы.

Выходы ССВК идут на ССВР, который обеспечивает отключение вычислительного канала в случае его неработоспособности. Выходы IRQ сигнализируют микроконтроллерам, что они должны быть перезапущены.

Принципиальная электрическая схема включения контрольного реле имеет следующий вид:

Рисунок 9. - Самопроверяемая схема включения реле К

Схема основана на использовании устройства включения исполнительного реле, выполненного на выпрямителе с умножением напряжения. На входы данной схемы поступают сигналы с выходов самопроверяемой схемы. Но т.к. эти сигналы парафазные, то происходит инвертирование одного из сигналов. Второй сигнал, чтобы не произошло смещение фазы, подается через повторитель. При поступлении с выходов самопроверяемой схемы вычислительных каналов неверного (парафазного) сигнала произойдет выключение реле К, контакт которой отключит питание от всей системы.

3.3 Разработка принципиальной электрической схемы устройств сопряжения с исполнительными объектами

Для включения трансмиттерного реле применим схему УВИР на основе выпрямителя с умножением напряжения. Входные сигналы в виде последовательности импульсов поступают на прямой и инверсный входы двухполюсного ключа. При парафазности сигналов, поступающих от разных вычислительных каналов, на входе выпрямителя с умножением напряжения появляется переменное напряжение прямоугольной формы с амплитудой, меньшей напряжения отпускания реле. Это напряжение выпрямляется и умножается до уровня, необходимого для срабатывания трансмиттерного реле при поступлении нескольких импульсов. Напряжение срабатывания ТР составляет 7,5В. Т.к. входное напряжение выпрямителя умножается в три раза, то параметры схемы подобраны таким образом, чтобы на его вход поступало 3,5 В. Повреждение любого элемента УВИР ведет к прекращению умножения напряжения или снижению выходного напряжения ВУН, что исключает возможность ложного включения реле ТР. Кроме того, УВИР контролирует правильность работы двух каналов (синфазность выходных сигналов), выполняя роль выходных компараторов.

Рисунок 10. - Схема включения трансмиттерного реле

Для включения ламп светофора применим следующую схему. На вход умножителя напряжения поступает 4,6 В, на входы аналого-цифрового преобразователя (АЦП), встроенного в каждый микроконтроллер - напряжение 14В и 12В.

В схеме включения лампы светофора используются два резистора для контроля цепи лампы. На резисторе R8 происходит падение напряжения до 12В. При нормальной работе реле, на входы АЦП микроконтроллера поступает напряжение 14 В и 12В, что соответствует исправной работе. При коротком замыкании лампы на один вход поступает вместо 12В - 0 В, при обрыве лампы на вход поступает 14В, что приводит к срабатыванию стабилитрона, рассчитанного на 13В, и в результате на входе микроконтроллера 13В. АЦП обрабатывает сигналы, затем происходит сравнение результата, но основании, которого делается вывод об исправности лампы.

При таком контроле исправности лампы светофора можно отказаться от использования огневых реле, т.к. такая схема позволяет контролировать такие отказы как короткое замыкание и обрыв лампы, тогда как огневые реле контролируют только обрыв.

Рисунок 11. - Схема включения лампы светофора

Схема безопасного ввода информации с путевого реле в микроконтроллер. Определение состояния реле П производится следующим образом: если на вход В приходит сигнал Т, а на вход А инверсный сигнал, то следовательно реле П находится без тока, если наоборот, то реле находится под током. Номинал резисторов составляет 1 кОм.

Рисунок 12. - Схема безопасного ввода релейной информации

Напряжение кодов АЛСН, поступающих на вход микропроцессорной системы автоблокировки составляет 8 В. Напряжение на выводе микроконтроллера PIC16F873 составляет для логической "1" - +5В, для логического "0" - 0В. Для согласования уровней сигналов воспользуемся схемой представленной на рисунке 13.

Рисунок 13. - Типовая схема делителя напряжения

В данной схеме с помощью сигнального трансформатора Т1 снимается код АЛСН с рельсовой цепи. Далее код поступает на схему эмиттерного повторителя, выполненную на биполярном транзисторе VT1. При поступлении положительного импульса транзистор открывается и на вход микроконтроллера поступает импульс напряжением 5В той же фазы, а при поступлении импульса напряжением 0 В на базу транзистора, он будет закрыт и на вход микроконтроллера подается логический "0".

В качестве трансформатора Т1 используется сигнальный трансформатор СТ2 с коэффициентом передачи равным единице. При напряжении на зажимах первичной обмотки 8 В со вторичной обмотки снимается напряжение 8 В. Мощность трансформатора - 15 Вт, ток холостого хода - 40 мА.

4. Разработка алгоритмов и программного обеспечения

Алгоритм работы системы представлен на следующем рисунке

Рисунок 14. - Алгоритм работы микропроцессорной системы автоблокировки

При включении питания микроконтроллеры выполняют инициализацию различных регистров памяти, устанавливая в них значения, нужные для работы системы. При этом происходит включение контрольного реле К. Когда это реле включено, замыкается основная цепь питания и включается светодиод, который сигнализирует о том, что система включена и кнопку включения можно отпустить.

Далее микропроцессорная система определяет состояние путевого реле П. При получении сигналов о состоянии контактов реле П микроконтроллеры сравнивают полученную информацию по самопроверяемой схеме. Если они получили разную информацию, то в результате происходит выключение всей системы. Если полученная информация верна и определяется, что контакты реле П разомкнуты, то включается красная лампа на светофоре. Если же реле П находится под током, то осуществляется прием кода АЛС.

Верность принятия микроконтроллерами сигналов АЛС проверяется по самопроверяемой схеме. Если информация неверная то система выключается, если же верная, то выполняется анализ кода АЛС. На основании этого анализа микроконтроллеры выдают сигнал включения соответствующей лампы на светофоре.

Также микроконтроллеры выполняют контроль исправности включенной лампы светофора. Сигналы контроля поступают на входы аналого-цифровых преобразователей контроллеров, после их обработки делается вывод об исправности лампы. Если лампа не исправна, то происходит снижение сигнальных показаний на светофоре. При неисправности зеленой лампы происходит включение желтой. Если желтая лампа не исправна, то включается красная лампа. Если же неисправна красная лампа, то происходит выключение системы.

Включение трансмиттерного реле ТР происходит после определения исправности горящей лампы. Какой код должно выдавать это реле определяется исходя из включенной лампы на светофоре. Коды АЛС формируются микроконтроллерами программно и перед их выдачей определяется, одинаковые ли коды формируют контроллеры. Если коды одинаковые, то происходит возврат к точке программы, в которой определяется положение контактов путевого реле П.

Листинг программы приведён в Приложении Б.

5. Разработка подсистемы диагностики и блокировки в защитном состоянии

При разработке микропроцессорной системы автоблокировки была предусмотрена подсистема диагностики. Она включает в себя самопроверяемую схему внутреннего контроля и передачу информации о неисправности ламп светофора персоналу с помощью светодиода, который загорается если одна из ламп не исправна.

Для контроля правильности работы системы применяется самопроверяемая схема внутреннего контроля (ССВК). В случае возникновения отказа, ССВК генерирует сигнал, блокирующий дальнейшую работу системы.

Также диагностирование достигается циклической работой алгоритма, который проверяет состояние датчиков. В каждом цикле происходит съем информации с датчиков и обновление управляющей информации. Длительность одного цикла работы составляет порядка 500 микросекунд. В течение каждого цикла работы система проверяет правильность работы вычислительных каналов, исправность ламп светофора. В случае если каналы работают неверно, то в результате работы ССВК произойдет выключение системы, при этом светодиод, подключенный к тыловому контакту контрольного реле будет сигнализировать о том, что система выключена, а на светофоре будет гореть красный огонь. В этом случае, можно говорить о переходе системы в защитное состояние, т.к. при этом на ее выходах не может появиться никаких управляющих воздействий.

О неисправности ламп светофора сигнализирует другой светодиод.

Анализируя полученную информацию обслуживающий персонал может сделать вывод о исправности системы. Таким образом, период диагностирования системы составляет около 500 мкс, что позволяет достаточно быстро и оперативно реагировать на возникшие неполадки.

6. Расчет показателей безотказности и безопасности системы

микропроцессорный автоблокировка поезд датчик

Основными показателями безотказности и безопасности системы являются:

-безотказность СЖАТ - свойство системы непрерывно сохранять работоспособное состояние в течение некоторого времени или наработки. Показатели безотказности делятся на две группы: показатели невосстанавливаемых и восстанавливаемых изделий.

Невосстанавливаемые изделия - изделия, поведение которых существенно лишь до первого отказа, - характеризуются следующими количественными показателями надежности: интенсивностью отказов (t); вероятностью безотказной работы Р(t); вероятностью отказа Q(t); средней наработкой до отказа То.

Восстанавливаемые изделия - изделия, эксплуатация которых допускает их многократный ремонт, - характеризуется следующими количественными показателями надежности: параметрами потока отказов (t) и потока восстановлений (t); функцией готовности Кг(t); коэффициентом готовности Кг; средним временем работы между двумя отказами tср; средним временем восстановления tв.

Безопасность СЖАТ - свойство системы непрерывно сохранять исправное, работоспособное или защитное состояние в течение некоторого времени или наработки. Показатели безопасности аналогичны показателям безотказности.

Для невосстанавливаемых систем рассчитывают следующие показатели: вероятность безопасной работы Рб(t); вероятность опасного отказа Qоп(t); интенсивность опасных отказов оп(t); среднюю наработку до опасного отказа Топ.

Структурная схема для расчета вероятности безотказной работы системы имеет вид:

Рисунок 15. - Структурная схема для расчета вероятности безотказной работы

Вероятность безотказной работы дублированной самопроверяемой структуры определяется выражением :

,

где , - вероятности безотказной работы соответственно вычислительного канала, самопроверяемой схемы внутреннего контроля и устройств сопряжения с объектами управления;

- интенсивность отказов элементов канала обработки информации

- интенсивность отказов элементов систем контроля

Для вычислительного канала имеем:

Резисторы - 6 шт. = 1/ч

Конденсаторы - 2 шт. = 1/ч

Диоды и оптопары - 2 шт. = 1/ч

Интегральные микросхемы - 1 = 1/ч

Для схемы ССВК:

Резисторы - 7 шт. = 1/ч

Конденсаторы - 6 шт. = 1/ч

Диоды - 6 шт. = 1/ч

Транзисторы - 3 шт. = 1/ч

Интегральные микросхемы - 71 = 1/ч

Для выходных схем:

Резисторы - 34 шт. = 1/ч

Конденсаторы - 24 шт. = 1/ч

Диоды - 27 шт. = 1/ч

Транзисторы - 9 шт. = 1/ч

Таким образом, вероятность безотказной работы за год составляет:

, или 71,8%.

Вероятность появления опасного отказа в дублированном модуле определяется выражением:

,

где Д - период диагностирования элементов модуля;

i - интенсивность отказов канала обработки информации.

Основной цикл программы и основные подпрограммы по времени составляют примерно 500мкс. Следовательно, для разработанной системы Д равняется примерно 500мкс или лет

Таким образом вероятность опасного отказа за год составляет:

или %.

Заключение

В результате выполнения данного курсового проекта была разработана микропроцессорная система односторонней автоблокировки.

Разработаны принципиальные схемы вычислительных каналов, устройств сравнения и контроля, алгоритмы и программное обеспечение МИУС, обеспечивающие работу системы автоблокировки на перегоне. Произведен необходимый расчет показателей безопасности и безотказности системы.

Достоинства данной структуры состоят в простоте реализации, невысокой стоимости, а также в высокой безопасности. Однако у данной системы имеются и свои недостатки: при ошибке в работе система выключается; невозможность обнаружения ошибок в программном обеспечении, потому что они одинаково проявляются в обоих каналах; невысокая эксплуатационная готовность, так как любой отказ переводит систему в нерабочее защитное состояние.

Список литературы

1. Автоматики и телемеханика на железнодорожном транспорте. А.С. Переборов, А. А. Эйлер - М.: Транспорт, 1968.

2. РТМ 32 ЦШ 1115842.01-94. Безопасность железнодорожной автоматики и телемеханики. Методы и принципы обеспечения безопасности микроэлектронных СЖАТ. - СПб.: ПГУ ПС, 1994. - 120 с.

3. Щелкунов Н.Н., Дианов А.П. Микропроцессорные средства и системы. М.: Радио и связь, 1989.

4. Документация по микроконтроллеру PIC16F873

5. Микропроцессорные и информационно-управляющие системы в железнодорожной автоматике и телемеханике. Методы обеспечения безопасности функционирования. / С.Н. Харлап, К.А. Бочков. Гомель, БелГУТ, 2002

Приложение А

Приложение Б

Листинг программы

list p=16f873

include <p16f873.inc>

; Производим инициализацию портов.

bcf STATUS,RP0

clrf PORTA ; Очистка PORTA

clrf PORTB

clrf PORTC

bsf STATUS,RP0

movlw 0x06

movwf ADCON1 ;PORTA как порт ВВ

movlw 0x1F ;настраиваем входы RA0-RA5 порта А на прием

movwf TRISA

movlw 0x00 ;настраиваем входы порта B на передачу

movwf TRISB

ovlw 0x0C ;настраив. входы RC0-RC4 порта C на прием, остальные - передача

movwf TRISC

bcf STATUS,RP0

nop

;инициализация TMR0

clrf TMR0 ;сброс таймера

clrf INTCON ;выключение прерываний

BSF STATUS,RPO ;банк 1

movlw 0xC3 ;таймер инкрементируется от внутреннего тактового

mov wf OPTION_REG;сигнала

BCF STATUS,RP0l; банк 0

BSF INTCON,TOIE; разрешений прерывание от таймера

BSF INTCON,GIE; разрешение всех прерываний

; Инициализация таймера TMR1.

clrf T1CON

clrf TMR1H

clrf TMR1L

clrf INTCON

bsf STATUS,RP0

clrf PIE1

bcf STATUS,RP0

clrf PIR1

movlw 0x30

movwf T1CON

;инициализация АЦП

bsf STATUS,RP0

clrf ADCON1; настроить входы АЦП

bsf PIE1,ADIE; разрешить прерывания от АЦП

bcf STATUS,RP0

bcf PIR1,ADIF; сбросить флаг прерывания от АЦП

bsf INTCON,PEIE; разрешить периферийные прерывания

bsf INTCON,GIE; глобальное разрешение прерываний

; Инициализация прерываний

clrf INTCON

clrf PIR1

bsf STATUS,RP0

movlw 0x60 ; разреш. прерыв. от таймера 1 и модуля ССР, кот.

может захватывать его значение TMR1

movwf PIE1

bcf STATUS,RP0

bsf INTCON,7 ;глобальное разрешение прерываний

;инициализация переменных

num equ 0x20

count equ 0x21

delay equ 0x22

movlw 0x00

movwf count

movlw 0x00

movwf num

acp_t1 equ 0x23

movlw 0x00

movwf acp_t

acp_t1 equ 0x23

movlw 0x00

movwf acp_t2

movlw 0x05

movwf delay

;включение светодиода

movlw 0x16

movwf Port C

;начало основной программы

;проверяем состояние путевого реле

Start

movlw 0x01

PR

;выставляем на RC0-RC1 сигнал "01" и проверяем значения

;выходов электронной схемы

movwf PortC

;имитируем ожидаемый результат в аккумуляторе

movfw 0x04

subwf PortC,0

btfsc W,4

;если не совпали то подаем другой код "10" в другой части

;программы anotherkod

goto anotherkod

inc count

btfss count,2

goto PR

;делается вывод о положении реле П

goto zamknuto

anotherkod

movlw 0x02

movwf PortC

movfw 0x08

subwf PortC,0

btfss w,4

;делается вывод о положении реле П

goto zamknuto

goto razomknuto

;если реле разомкнуто то зажигается красная лампа светофора

razomknuto

movlw 0x40

movwf PortC

call ssvk

RedLMP

;включаем красную лампу

movlw 0x01

movwf PortB

movlw 0x00

movwf PortB

decfsz num

goto RedLMP

;проверка исправности лампы

ACP_1

movlw 0xC0

movwf ADCON0

bsf ADCON0,GO

btfss PIR1,ADIF

goto ACP_1

call interrupt_ACP

movwf acp_t1

ACP_2

movlw 0xC1

movwf ADCON0

bsf ADCON0,GO

btfss PIR1,ADIF

goto ACP_2

call interrupt_ACP

movwf acp_t2

subwf acp_t1,0

;если лампа не горит

btfss W,2

call switch_1

clrf count

movlw 0x05

movwf count

call kodKJ

;возвращаемся в начало программы

goto Start

;обнаруживаем что красная лампа не исправна и выключаем систему

switch_1

movlw 0x00

movwf PortC

call ssvk

return

;запускаем реле ТР для кода КЖ

kodKJ

wait1

bsf PortB,RB3

movlw 0x17

movf TMR0,W

btfss STATUS,Z

goto wait1

wait2

bcf PortB,RB3

movlw 0x39

movf TMR0,W

btfss STATUS,Z

goto wait2

decfsz count

goto kodKJ

;проверка правильности работы

movlw 0x20

movwf PortC

call ssvk

return

zamknuto

movlw 0x40

movwf PortC

call ssvk

;прием кода АЛС

ALS

movlw 0xff

movwf TMR1L

movlw 0x04

movwf TMR1L

;записываем принятый код АЛС через маску W

movlw 0x20

movfw PortC,0

;включаем таймер

movlw 0x03

movwf T1CON

delay1

btfsc PortC,RC6

goto delay1

call prerivTMR1

movlw 0xff

subwf TMR1L,0

movwf temp

movlw 0xff

movwf TMR1L

movlw 0x04

movwf TMR1L

;записываем принятый код АЛС

movlw 0x20

movfw PortC,0

;включаем таймер

movlw 0x03

movwf T1CON

goto delay2

delay2

btfsc PortC,RC6

goto delay2

call prerivTMR1

movlw 0xff

subwf TMR1L,0

movwf temp1

;теперь переменные и temp temp1 хранят соответственно

;длительности 1 и нуля

;проверка правильности работы

movlw 0x20

movwf PortC

call ssvk

goto determALS

determALS

movfw temp

btfsc W,5

goto RedLMP

btfsc W,4

goto GYLMP

btfsc W,3

goto YelLMP

goto GreLMP

GYLMP

;включаем желтую и зеленую лампу

movlw 0x06

movwf PortB

movlw 0x00

movwf PortB

decfsz num

goto GYLMP

ACP_3

movlw 0xC2

movwf ADCON0

bsf ADCON0,GO

btfss PIR1,ADIF

goto ACP_3

call interrupt_ACP

movwf acp_t1

ACP_4

movlw 0xC3

movwf ADCON0

bsf ADCON0,GO

btfss PIR1,ADIF

goto ACP_4

call interrupt_ACP

movwf acp_t2

subwf acp_t1,0

;перенос показаний если зеленая лампа не исправна

btfss W,2

call switch_2

btfss W,2

goto error

switch_2

movlw 0x04

movwf check

movwf acp_t2

subwf acp_t1,0

return

ACP_7

movlw 0xC4

movwf ADCON0

bsf ADCON0,GO

btfss PIR1,ADIF

goto ACP_3

call interrupt_ACP

movwf acp_t1

ACP_8

movlw 0xC5

movwf ADCON0

bsf ADCON0,GO

btfss PIR1,ADIF

goto ACP_4

call interrupt_ACP

movwf acp_t2

subwf acp_t1,0

;перенос показаний если желтая лампа не исправна

btfss W,2

call switch_2

btfss W,2

goto error

clrf count

movlw 0x05

movwf count

call kodGY

;возвращаемся в начало программы

goto Start

;запускаем реле ТР для кода ЗЖ

kodGY

wait3

bsf PortB,RB3

movlw 0x2D

movf TMR0,W

btfss STATUS,Z

goto wait3

wait4

bcf PortB,RB3

movlw 0x0C

movf TMR0,W

btfss STATUS,Z

goto wait4

decfsz count

goto kodGY

;проверка правильности работы

movlw 0x20

movwf PortC

call ssvk

return

YelLMP

;включаем желтую лампу

movlw 0x02

movwf PortB

movlw 0x00

movwf PortB

decfsz num

goto YelLMP

ACP_5

movlw 0xC2

movwf ADCON0

bsf ADCON0,GO

btfss PIR1,ADIF

goto ACP_5

call interrupt_ACP

movwf acp_t1

ACP_6

movlw 0xC3

movwf ADCON0

bsf ADCON0,GO

btfss PIR1,ADIF

goto ACP_6

call interrupt_ACP

movwf acp_t2

subwf acp_t1,0

;перенос показаний если желтая лампа не исправна

btfss W,2

call switch_3

btfss W,2

goto error

switch_3

movlw 0x02

movwf check

movwf acp_t2

subwf acp_t1,0

return

clrf count

movlw 0x05

movwf count

call kodY

;возвращаемся в начало программы

goto Start

;запускаем реле ТР для кода Ж

kodY

wait5

bsf PortB,RB3

movlw 0x26

movf TMR0,W

btfss STATUS,Z

goto wait5

wait5

bcf PortB,RB3

movlw 0x0C

movf TMR0,W

btfss STATUS,Z

goto wait5

decfsz count

goto kodY

;проверка правильности работы

movlw 0x20

movwf PortC

call ssvk

return

GreLMP

;включаем зеленую лампу

movlw 0x04

movwf PortB

movlw 0x00

movwf PortB

decfsz num

goto GYLMP

ACP_9

movlw 0xC4

movwf ADCON0

bsf ADCON0,GO

btfss PIR1,ADIF

goto ACP_9

call interrupt_ACP

movwf acp_t1

ACP_10

movlw 0xC5

movwf ADCON0

bsf ADCON0,GO

btfss PIR1,ADIF

goto ACP_10

call interrupt_ACP

movwf acp_t2

subwf acp_t1,0

btfss W,2

call switch_4

btfss W,2

goto error

clrf count

movlw 0x05

movwf count

call kodGre

;возвращаемся в начало программы

goto Start

switch_4

movlw 0x08

movwf check

movwf acp_t2

subwf acp_t1,0

return

;запускаем реле ТР для кода З

kodGre

wait7

bsf PortB,RB3

movlw 0x23

movf TMR0,W

btfss STATUS,Z

goto wait7

wait8

bcf PortB,RB3

movlw 0x0C

movf TMR0,W

btfss STATUS,Z

goto wait8

decfsz count

goto kodKJ

;проверка правильности работы

movlw 0x20

movwf PortC

call ssvk

return

;проверка правильности работы

ssvk

btfss PortC,8

call set_trig_1

clrf PortC

return

set_trig

movlw 0x21

movwf PortB

movlw 0x32 ;отсчет 50 миллисекунд

movwf TMR1H

movlw 0x00

movwf TMR1L

movlw 0x31

movwf T1CON ;включаем таймер ТМR1

call Interrupt

return

prerivTMR1

bsf STATUS,RP0

movlw 0x01

movwf PIE1 ;разрешаем прерывания от таймера

bcf STATUS,RP0

clrf PIR1

retfie

interrupt_ACP

bsf STATUS,RP0

clrf ADCON1;

bsf PIE1,ADIE;разрешить прерывания от АЦП

bcf STATUS,RP0

bcf PIR1,ADIF;сбросить флаг прерывания от АЦП

bsf INTCON,PEIE; разрешить периферийные прерывания

bsf INTCON,GIE; глобальное разрешение прерываний

movfw ADRES

retfie

;прерывание от таймера №1

Interrupt

btfss PIR1,0 ;проверяем наличие переполнения таймера

return

bsf STATUS,RP0

movlw 0x01

movwf PIE1 ;разрешаем прерывания от таймера

bcf STATUS,RP0

clrf PIR1

retfie

error

;зажигаем светодиод

bsf PortC,RC7

;если не горит зеленый свет то включаем желтый

btfsc check,4

goto GYLMP

btfsc check,3

goto YelLMP

btfsc check,2

goto RedLMP

btfsc check,1

goto RedLMP

end

Размещено на Allbest.ru


Подобные документы

  • Разработка микропроцессорной системы управления технологическим объектом. Выбор и расчет элементов системы, разработка ее программного обеспечения. Составление структурных, функциональных и принципиальных схем микроконтроллеров семейства MCS-51.

    курсовая работа [579,0 K], добавлен 20.09.2012

  • Разработка принципиальных схем блоков чтения информации с датчиков. Сопряжение с цифровыми и аналоговыми датчиками. Алгоритм работы блока чтения информации с цифровых датчиков. Расчет электрических параметров микропроцессорной системы управления.

    дипломная работа [760,0 K], добавлен 27.06.2016

  • Принципиальные схемы вычислительного канала, устройств сравнения и контроля, безопасного ввода информации. Разработка алгоритма управления состоянием переезда, передачи и программного обеспечения. Расчет показателей безотказности и безопасности системы.

    курсовая работа [822,8 K], добавлен 08.02.2014

  • Рассмотрение аппаратных принципов построения устройств микропроцессорной техники и их программного обеспечения. Структурная схема микропроцессора К1821ВМ85А. Карта распределения адресного пространства памяти. Расчет потребляемой устройством мощности.

    курсовая работа [2,4 M], добавлен 26.11.2012

  • Аппаратные принципы построения устройств микропроцессорной техники и приобретение практических навыков по разработке микропроцессорных систем. Техническая характеристика микропроцессора ATmega и анализ микросхемы памяти. Схема микропроцессорной системы.

    курсовая работа [1,6 M], добавлен 19.11.2011

  • Выбор программного обеспечения. Построение функциональной модели. Тестирование программного описания автомата. Проектирование общей схемы сборки проекта из отдельных фрагментов. Нормы затрат на проектирование и внедрение микропроцессорной системы.

    дипломная работа [348,1 K], добавлен 05.05.2015

  • Изобретение и развитие микропроцессоров. Микроконтроллеры различных типов. Принципиальная схема микропроцессорной системы. Выбор датчиков Расчет основных элементов МПС. Составление алгоритма работы схемы, программы для нее. Сборка МПС в программе Proteus.

    курсовая работа [387,3 K], добавлен 25.04.2016

  • Критерии эффективности и обоснование выбора базисных элементов для записи отсчетов от 16 аналоговых датчиков в область памяти. Функциональная схема компьютерной системы управления железнодорожным переездом. Алгоритм работы микропроцессорной системы.

    курсовая работа [1,4 M], добавлен 14.06.2016

  • Кустовая насосная станция как объект программного управления. Основные характеристики микросхем и режимы их работы. Разработка структурной и принципиальной схем микропроцессорной системы программного управления на основе микропроцессора К1821ВМ85.

    курсовая работа [124,1 K], добавлен 03.05.2012

  • Процесс производства стали, способы детектирования шлака. Обзор периферийных устройств контроллера, определение режимов их работы. Разработка программного обеспечения для модуля, позволяющего применять данную плату для любой системы детектирования шлака.

    дипломная работа [3,3 M], добавлен 07.07.2012

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.