Проект графического контроллера LCD-монитора

Понятие растровой графики, устройства вывода изображения. Разработка графического контроллера жидкокристаллического LCD-монитора. Анализ возможных опасных и вредных производственных факторов. Расчет показателей экономической эффективности проекта.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид дипломная работа
Язык русский
Дата добавления 26.12.2010
Размер файла 3,1 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Уровень электромагнитных излучений нормируется за ГОСТ 12.1.006-84 "Электромагнитные поля радиочастот. Допустимые уровни на рабочих местах и требования к проведению контроля" и по ГОСТ 12.1.002-84 "Электрические поля промышленной частоты. Допустимое уровни напряженности и требования к проведению контроля на рабочих местах".

Основным источником интенсивных электромагнитных излучений является монитор компьютера. Современные дисплейные мониторы представляют собой источник интенсивных электромагнитных полей и инфракрасных частот, которые имеют следующие характеристики: частота вертикального развертывания от 50 до 150 Гц, частота горизонтального развертывания от 30 до 85 кГц и выше.

Оператор, который работает за терминалом подвергается действию ЕМП с частотой 50 Гц, что может неблагоприятным образом повлиять на здоровье человека. Степень влияния электромагнитных излучений на оператора ЭВМ зависит от продолжительности облучения и индивидуальных способностей организма, а также от режима излучения. Биологическое действие ЕМП является обратимым, если прекратить влияние. Продолжительное влияние ЕМП низких частот вызывает функциональные нарушения в сердечнососудистой системе и в центральной нервной системе и некоторые изменения в составе крови.

Для большинства современных мониторов напряженность создаваемого ими электромагнитного поля по магнитной составляющей не превышает 0,3 А/м на расстоянии 50 см от монитора, а по электрической составной на то же расстоянии не превышает 25 В/м в диапазоне частот от 5 Гц до 2 кГц и 2,5 В/м в диапазоне от 2 до 400 кГц, итак, нет необходимости в мероприятиях по защите от электромагнитных излучений.

4.1.6 Электробезопасность

Основной причиной опасного производственного фактора, связанного с действием электрического тока являются нарушения изоляции сетевого кабеля, вследствие чего возможно возникновение повышенного напряжения электрической цепи и прохождение электрического тока через тело человека.

Прохождение электрического тока через тело человека нормируется соответственно ГОСТ 12.1.038-82 "Система стандартов безопасности труда. Электробезопасность. Предельно допустимые значения напряжений прикосновения и токов", где за предельное значение ощутимых и неотпускаемых токов (в зависимости от тип тока, его частоты и времени) принимаются следующие токи:

Таблица 4.3

Значение ощутимых и неотпускаемых токов

Тип тока и частота

Ток, проходящий через человека, mA

Ощутимый ток не более 10 мин.

Неотпуская тока не более 3 сек.

Переменный ток, 50 Гц

0,6

4

Оборудование ЭВМ при работе использует разнообразные источники питания, которые подключаются к сети переменного тока с напряжением в 220 В и частотой 50 Гц и потому представляют для человека потенциальную опасность. Согласно ГОСТ 12.1.030-81 "Электробезопасность. Защитное заземление, зануление" для обеспечения электробезопасности помещения ВЦ оборудовано контуром-шиной защитного заземления, електрически соединенной с заземлителем и все корпусы ЭВМ заземлены.

4.1.7 Пожарная безопасность

Помещение с ПК согласно ОНТП 24-86 " Определение категорий помещений и зданий по взрывопожарной опасности " относятся к категории пожарной опасности Д-помещения, в которых находятся кабельные электропроводки к оборудованию, само оборудование. Нарушение технологического режима, неисправность электрооборудования, плохая подготовка оборудования к ремонту, несоблюдению графика планового ремонта, износ и коррозия оборудования, ремонт оборудования на ходу, реконструкция установок с отклонением от технологических схем, загорание изоляционных материалов вследствие ухудшения диэлектрических свойств изоляции в процессе эксплуатации могут привести к пожарам.

4.2 Разработка мероприятий, направленных на устранение или снижение вредного влияния выявленных факторов

4.2.1 Воздух рабочей зоны

Для обеспечения чистоты воздуха и заданных метеорологических условий в производственном помещении согласно СНиП 2.04.05-91 "Отопление, вентиляция и кондиционирование воздуха" используется соединение естественной и механической вентиляции(смешанная вентиляция). Поддерживание заданной температуры в зимний период времени осуществляется за счет централизованного отопления помещения, и кондиционирование воздуха в пожилой период времени.

4.2.2 Микроклиматические параметры

Для обеспечения необходимых микроклиматических параметров и чистоты воздушной среды во ВЦ в соответствии с СНиП 2.04.05-91 "Отопление, вентиляция и кондиционирование воздуха" предусмотрено применения вентиляции и кондиционирование воздуха. Для предотвращения перегрева поверхностей корпуса и элементов вычислительной техники предусмотренны встроенные вентиляторы.

Для кондиционирования воздуха предусмотрен использование устройства кондиционирования воздуха Мульти-сплит системы Daikin MXS-E. Местная вытяжная вентиляция осуществляется встроенными в корпус вентиляторами и служит для охлаждения персональных ЭВМ и вспомогательных устройств соответственно ГОСТ 12.1.005-88 "Общие санитарно-гигиенические требования к воздуху рабочей зоны".

4.2.3 Шум

Для снижения уровня шума при печати проектом предусмотренное использование лазерных принтеров. Для дополнительной защиты от шума за ГОСТОМ 12.1.029-80 "Средства и методы защиты вот шума. Классификация"

4.2.4 Освещение

Нормирование искусственного и естественного освещения осуществляется согласно СНиП 11-4-79 "Естественное и искусственное освещение" (таблицы 4.4 и таблицы 4.5).

Таблица 4.4

Нормирование естественного освещения

Наименьший размер объекта различения, мм

Разряд зрительной

работы

Коэффициент естественной освещенности при боковом освещении, %

0,5

5

0,6

Наименьшие размеры объекта различения установлены для расстояния не более 0.5 м от глаз. Нормирование искусственного освещения осуществляется согласно СНиП 11-4-79 "Естественное и искусственное освещение":

Таблица 4.5

Нормирование искусственного освещения

Наименьший размер объекта различения, мм

Разряд зрительной работы

Подразряд

Контраст с фоном

Фон

Искусственное освещение при комбинированном освещении, лк

0.5

5

а

малый

темный

300

Для освещения применены растровые светильники. С целью создания комфортных условий работы для каждого, проектом предусмотрено подобрать высоту и угол наклона клавиатуры, экрана и сидение. Рабочее место предусмотрено расположить на высоте 74 см от пола. Установленные 15- минутные перерывы через каждые 1-2 часа работы.

Предусмотренная проектом освещенность для работы с экраном дисплея составляет 200 лк, а при работе с экраном и с работой над документами - 400 лк. Предусмотренная яркость в поле зрения оператора составляет 1:5.

Рабочие места пользователей, предусмотрено располагать подальше от окон и таким образом, чтобы оконные прорезы находились сбоку.

4.2.5 Электромагнитное, ионизирующее излучение

Рекомендовано заменить мониторы с електронно лучевой трубкой на жидкокриталлические дисплеи. Дополнительное преимущество таких дисплеев - это также то, что оператор видит полученное на них изображение не в прямом, а в отраженном свете, который снижает утомляемость глаз.

4.2.6 Электробезопасность

Для обеспечения электробезопасности данным проектом согласно с ГОСТ 12.1.030-81 "Электробезопасность. Защитное заземление, зануление" предусмотренные следующие меры:

- помещение ВЦ оборудовано контуром-шиной защитного заземления, електрично соединенной с заземлителем;

- все корпусы ЭВМ заземлены. В рабочем помещении они присоединены к контуру-шине отдельными проводниками.

4.2.7 Пожарная безопасность

Для обеспечения пожарной безопасности проектом согласно ГОСТ 12.1.004-91 "Пожарная безопасность. Общие требования". Для тушения возможной пожара в помещении должны находиться огнетушители ОХП-10 или ОУ-5.

4.3 Расчет занулення

Все работы связанные с написанием программы ведутся в помещении, которое относится к категории "без повышенной опасности" поражения электрическим током.

В сети с заземленной нейтралью при однофазном замыкании на корпус необходимо обеспечить автоматическое отключение поврежденного электрооборудования. При кратковременном, аварийном режиме создается безопасность обслуживания и сохранение оборудования.

Тем не менее, кратковременность может быть обеспечена только созданием определенной кратности тока, замыкающегося на корпус по отношению к номинальному току защитного аппарата. Этого можно добиться только прокладкой специального провода достаточной проводимости - нулевого провода, к которому присоединяются корпусы электрооборудования. В помещении, питание электроустановок осуществляется от подстанции с трансформатором P=600 кВт, удаленной от рабочего места на 300 м. Питание к распределительному щитку проводится алюминиевым проводом сечением 25 мм, а роль нулевого провода выполняет стальная полоса сечением 50 мм.

При использовании зануления должны быть выполнены следующие условия:

(4.1)

где k - коэффициент кратности номинального тока (А) плавкой вставки предохранителя, k=3. Номинальный ток в помещении 40 А.

Значение Ікз зависит от фазного напряжения и сопротивления цепи, в том числе от полного сопротивления трансформатора Zт, фазного проводника Zф, внешнего индуктивного сопротивления петли "фаза-нуль" Xп, активного сопротивления заземлений нейтрале обмоток трансформатора Rо и повторного заземления нулевого защитного проводника Rп.

Поскольку Rо и Rп большие, в сравнении с другими сопротивлениями, то ими можно пренебрегать. Тогда выражение для Ікк будет:

(4.2)

где Zn=Zф+Zнз+Xп - комплексное, полное сопротивление петли "фаза-нуль"

Удельное сопротивление фазного провода:

p=0.028() /м Sсеч=25 мм2

Отсюда сопротивление фазного провода:

Ом

Удельное сопротивление нулевого провода:

p=0.058 /м Sсеч=50 мм2

Отсюда сопротивление нулевого провода:

Ом

Значение Rф и Rнз малые и ими можно пренебрегать

где k=0,3894

dсc- расстояние между проводниками

dф- геометрический диаметр

Сопротивление электрической дуги берем равной:

Rд=0.02 Ом Xд=0

Соответственно мощности трансформатора

Rт=0.0044 Ом Xт=0,0127

Полное сопротивление петли "фаза-нуль":

Zп==0,716 Ом

При использовании зануления по требованиям ПУЕ:

Rнз/Rф=0,348/0,336 <2, ведь условие выполняется.

При попадании фазы зануления на корпус электроустановки должно произойти автоматическое отключение. Рассчитаем по формуле 4.1.

Вывод: Защита обеспечена.

5. РАСЧЕТ ЭКОНОМИЧЕСКОЙ ЧИСТИ ПРОЕКТА

5.1 Технико-экономическая характеристика предлагаемого проекта и выбранного аналога

Целью работы является разработка продукта, который будет выводить изображения на экран с использованием ПЛИС на основе платы DE2-70.

Предложенный программный продукт:

- обеспечивает быстрый вывод нужного изображения на экран;

- обеспечивает высокое качество выводимого изображения;

- обеспечивает переносимость данной разработки на ПЛИС других разработчиков;

- разрешает легко изменить проект под конкретные нужды аппаратного обеспечения.

Проект разработан в среде Альтера Quartus II - Система автоматизированного проектирования (САПР) обеспечивает полную, кроссплатформенную среду разработки, которая легко адаптируется под конкретные, специфические нужды разработки.

Проект написан на языке проектирования VHDL. В зависимости от выбранной аппаратной части может изменяться тип микроконтроллера, который будет прошит. Тип микроконтроллера влияет на производительность работы системы, но не влияет на алгоритм и исходный программный код. Это возможно благодаря полной совместимости программ для программных процессоров NІOS. Поэтому же он легко переносим между разными ПЛИС.

5.2 Организационное обеспечение проекта

Целью этого проекта является повышение качества и скорости вывода изображения, основанных на работе с ПЛИС, сокращение сроков этапа разработки, сокращение затрат на разработку программного обеспечения.

В результате написания дипломного проекта были выделенные следующие основные этапы выполнения проекта:

- Техническое задание (ТЗ) - при выполнении этого этапа была собрана информация о существующих аналогах их параметры и характеристики, принцип функционирования;

- Эскизный проект(ЭП) - этот этап включает разработку структуры функционирования устройства.

- Технический проект (ТП) - на данном этапе были выделены основные требования к программным средствам разработки и программированию, выдвинутые требования к функциональности системы, техническим средствам, программной совместимости;

- Рабочий проект (РП) - это этап программного выполнения в среде проектирования. Реализация включает разработку структуры программы, программных модулей;

- Внедрение проекта (ВП) - завершение проекта включает реализацию программного продукта и его внедрение, и программная поддержка продукта.

5.3 Расчет показателей экономической эффективности проекта

5.3.1 Общая схема расчетов

Ожидаемый экономический эффект определяется по формуле:

(5.1)

где: - годовая экономия на текущих затратах, грн.;

- единовременные затраты на проект, грн.;

- нормативный коэффициент эффективности единовременных затрат (может быть задан хозяйствующим субъектом, либо принимается на уровне процентной ставки по депозитным счетам банка и т.п.).

2) Годовая экономия складывается из экономии текущих затрат и прироста прибыли в связи с внедрением проекта таким образом:

,(5.2)

где: - соответственно текущие затраты до и после внедрения проекта, грн.;

- прирост прибыли хозяйствующего субъекта или его структурного подразделения при внедрении проекта, определяется экспертным путем, грн.

3) Состав единовременных затрат в каждом конкретном случае различен и зависит от специфики проекта. В общем виде эти затраты можно выразить формулой:

,(5.3)

где: - единовременные затраты на разработку проекта, грн.;

- единовременные затраты на специальное оборудование, грн.;

- сопутствующие единовременные затраты, грн.

4) Рассчитывается коэффициент эффективности единовременных затрат по формуле:

,(5.4)

Если ?, то проект эффективен.

5) Рассчитывается срок окупаемости единовременных затрат проекта

,,(5.5)

Расчет единовременных затрат на проект

Для потребителя проекта единовременными будут все затраты, которые он должен понести в связи с переходом на эксплуатацию продукта, возникшего в рамках проекта. В общем виде эти затраты можно выразить формулой (5.3):

Затраты на разработку проекта определяются на основе продолжительности каждого этапа, начиная с разработки концепции проекта и включая этап внедрения проекта. Данную информацию целесообразно внести в таблицу 5.1.

Таблица 5.1

Трудоемкость этапов разработки проекта

№ этапа

Наименование этапа

Т (календарные дни)

1

Техническое задание (ТЗ)

15

2

Эскизный проект (ЭП)

15

3

Технический проект (ТП)

20

4

Рабочий проект (РП)

35

5

Внедрение проекта (ВП)

5

Трудоемкость разработки проекта - может быть определена как сумма величин трудоемкости выполнения отдельных этапов проекта:

,(5.6)

где: - трудоемкость разработки технического задания проекта;

- трудоемкость разработки эскизного проекта;

- трудоемкость разработки технического проекта;

- трудоемкость разработки рабочего проекта;

- трудоемкость внедрения проекта.

Трудоемкость этапов проектирования устанавливается по фактическим затратам времени (календарного) в чел/дн. по всем работам, выполняемых в рамках этих этапов.

Расчет единовременных затрат может быть представлен в виде сметы затрат в таблице 2.

Затраты на специальное оборудование - это затраты, связанные с приобретением, арендой (если в этом есть необходимость) компьютерного, лабораторного оборудования, станочного парка или другого оборудования необходимого для выполнения проектных, научно-исследовательских, экспериментальных работ проекта. Определяется на основе его рыночной стоимости с надбавкой 10-15 %.

Сопутствующие единовременные затратырассчитываются по формуле:

(5.7)

где:- затраты на доставку спроектированного изделия к месту эксплуатации, грн. (0 грн);

- затраты на установку, монтаж, наладку изделия,(300 грн.);

- прочие затраты, связанные с демонтажом заменяемого компонента оборудования или его какой-либо части и т.п., (0 грн.);

- эта составляющая присутствует в расчетах, если имеет место высвобождение какой-либо части основных фондов, (0грн.);

- затраты на обучение персонала, который будет обслуживать внедряемое изделие, а также авторский надзор,(150 грн).

(грн)

Таблица 5.2

Смета затрат на проект

№ п/п

Наименование

статьи

Сумма,

грн.

Алгоритм расчета

1

Материалы и комплектующие изделия, необходимые для разработки проекта.

1)Бумага А4 SvetoCopy - 40 грн.

2)Чернила HP - 35 грн.

3)Канцтовары - 5 грн.

80

- количество і-го материала;

- рыночная цена і-го материала.

Затраты на машинное время, используемое при разработке проекта

1) Общая трудоемкость -

90 чел/дн

2) Затраты на м/в - 320 ч.

3) Стоимость м/в - 2.5 грн/ч

800

- время использования машинного времени на і-ом этапе проекта;

- цена 1 часа работы ПВМ на і-ом этапе проекта.

4

Основная заработная плата участников проекта

18000

Исходя из трудоемкости разработки , количества специалистов и должностных окладов (Табл.2).

5

Дополнительная заработная плата участников проекта

1800

10 % от п.4

6

Начисления на социальное страхование

7524

38,0 % от п.4 + п.5

7

Накладные расходы

15840

80 % от п.4 + п.5

8

Итого производственная себестоимость

44044

?(п.1-п.7)

9

Прочие коммерческие расходы

8809

20 % от п.8

10

Единовременные затраты на разработку проекта

52853

?(п.8 + п.9)

11

Затраты на специальное оборудование

2820

Определяется на основе его рыночной стоимости с надбавкой 10-15 %

12

Сопутствующие единовременные затраты

450

Рассчитываются по формуле (8)

13

Единовременные затраты на проект

56123

?(п.10 / п.12)

5.3.2 Расчет текущих затрат

Текущие затраты необходимо рассчитать для базового и проектируемого варианта.

Текущие затраты включают в себя следующие составляющие элементы:

- затраты на оплату труда персонала, занятого эксплуатацией «продукта» проекта, грн.;

- затраты на функционирование проектируемого объекта (затраты машинного времени, материальные расходы), грн.;

- накладные расходы в условиях эксплуатации, грн.;

- прочие расходы.

Расчет текущих затрат базового варианта производиться по следующей схеме:

Затраты на оплату труда персонала включают:

а) Годовой фонд основной заработной платы персонала (рабочие-специалисты, электронщики, наладчики):

,(5.8)

где:- численность специалистов і-й категории, чел.;

- годовой фонд оплаты труда специалиста і-й категории, грн.

(грн.).

(грн.).

Так как до внедрения контроллера проект выполнялся сотрудником 8-ми часовой рабочий день, а после внедрения тот же объем работы выполняется за 7 часов, в результате чего становиться возможным сократить рабочий день. Так как заработная плата осуществляется с учетом выработки, нововведение позволяет сократить оплату.

б) Годовой фонд дополнительной заработной платы:

,(5.9)

где: - коэффициент дополнительной заработной платы (в расчетах можно принять )

(грн.).

(грн.).

в) Начисления на социальное страхование:

,(5.10)

где: - коэффициент начислений на социальное страхование:

- социальное страхование на случай пенсионного обеспечения (31, 8 %);

- социальное страхование на случай временной потери трудоспособности (2,9 %);

- социальное страхование по безработице (1,3 %)

- социальное страхование от несчастных случаев и профессиональных заболеваний (условно принимается 2,0 %)

(в расчетах можно принимать )

(грн.)

(грн.)

Общие затраты на оплату труда составят:

,(5.11)

(грн.),

(грн.).

Затраты на функционирование проектируемого объекта укрупнено включают:

а) Стоимость машинного времени при функционировании объекта:

,(5.12)

(грн.).

(грн.).

где: Т - машинное время, необходимое для эксплуатации объекта, час;

- стоимость одного часа работы вычислительного комплекса (ПВМ), грн.

б) Стоимость расходных материалов при эксплуатации объекта:

, (5.13)

где:- количество i-го вида материалов, шт., кг и т.д.;

- стоимость (рыночная цена) і-го вида материала, грн.

(грн.).

в) Затраты на функционирование объекта:

, (5.14)

где:- стоимость машинного времени при функционировании объекта, грн.;

- стоимость расходных материалов при эксплуатации объекта, грн.

(грн.).

(грн.).

Расчет накладных расходов:

Накладные расходы составляют 80 % от основной и дополнительной заработной платы персонала занятого эксплуатацией объекта:

(5.15)

где: - коэффициент определяющий величину накладных расходов.

(грн.)

(грн.)

5.3.3 Расчет прочих коммерческих расходов

Прочие коммерческие расходы могут составлять 2 % от суммы всех текущих затрат:

(5.16)

где: - коэффициент, определяющий величину прочих затрат.

(грн.)

(грн.)

В итоге можно определить текущие затраты:

,(5.17)

(грн.)

(грн.)

Годовую экономию рассчитываем по формуле (5.2):

,

(грн.)

- прирост прибыли хозяйствующего субъекта при внедрении проекта, определяем экспертным путем, (100000грн.)

3) Состав единовременных затрат рассчитываем по формуле (5.3):

,

Рассчитываем ожидаемый экономический эффект по формуле (5.1):

- нормативный коэффициент эффективности единовременных затрат (0.4).

4) Рассчитываем коэффициент эффективности единовременных затрат по формуле (5.4):

,

Так как ?, проект эффективен.

Рассчитываем срок окупаемости единовременных затрат проекта по формуле (5.5):

,

Высчитаем начальную цену проекта. Для этого к единовременным затраты на проект добавим 10 % фиксированного дохода.

Ц= 56123*1,1=61735 (грн.)

Основные характеристики проекта приведены в таблице 4.3.

Таблица 4.3

Основные технико-економические показатели проекта

№ п/п

Показатели

Единица измерения

Значения

1

Результаты проекта

1) Производительность выросла на 5%.

2

Трудоемкость внедрения проекта

чел. / дн.

90

3

Ціна проектного рішення (продукту)

грн.

61735

4

Единовременные затраты

грн.

56123

5

Текущие затраты

Базовые

грн.

109497

Проектные

95410

6

Годовая экономия

грн.

114087

7

Ожидаемый экономический эффект

грн.

91638

8

Коэффициент эффективности единовременных затрат

-

2

9

Срок окупаемости единовременных затрат проекта

месяцев

8

СПИСОК ЛИТЕРАТУРЫ

1. Растровая и векторная графика. Понятие растра. http://www.intuit.ru/department/graphics/rastrgraph/1/1.html

2. Комолов Д.А., Мяльк Р.А., Зобенко А.А., А.С. Филиппов ПЛИС фирмы Altera - MAX+PLUS II и Quartus II - М.: РадиоСофт, 2002 -- 364 с.

3. Вальпа О.Д. Полезные схемы с применением микроконтроллеров и ПЛИС. - М.: Издательский дом «Додэка-ХХI», 2006 - 416 с.

4. Terasic ©.DE2_70 Application note 02, 2007 - 5 с.

5. Terasic ©.TRDB_LTM, 2007 - 39 с.

6. Altera Corporation©. Integrated Silicon Solution, 2007 - 35 с.

7. Copyright © 2007 Terasic Technologies. User manual DE2-70. 2007. -93 с.

8. Устройства ввода\вывода изображений. http://www.intuit.ru/department/graphics/graphalg/1/3.html

9. А.К. Поляков. Языки VHDL и VERILOG в проектировании цифровой аппаратуры. - М.: Солон-Пресс, 2003 - 320 с.

10. Altera Corporation©. Introduction to the Altera SOPC Builder Using Verilog Design, 2008 - 23 с.

Приложение А

Листинг описания блока counter на языке проектирования VHDL

-- Quartus II VHDL Template

-- Single port RAM with single read/write address

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity counter is

port

(

clk : in std_logic;

reset: in std_logic;

h_sync: out std_logic;

h_strob: out std_logic

);

end counter;

architecture counter of counter is

signal count: std_logic_vector (10 downto 0); -- 10 downto 0

signal state: std_logic;

signal state1: std_logic;

begin

process (clk, reset, count)

begin

if reset = '1' then count <= "00000000000";

elsif (clk'event and clk = '1') then

if (count = 1055) then -- 1055

count <= "00000000000";

else count <= count + 1;

end if;

end if;

end process;

process (clk, reset, count)

begin

if (clk'event and clk = '1') then

if (count = 0) then state <= '0';

elsif (count = 1) then -- 1

state <= not state;

end if;

end if;

h_sync <= state;

end process;

process (clk, reset, count)

begin

if (clk'event and clk = '1') then

if (count = 0) then state1 <= '0';

elsif (count = 215) then --215

state1 <= not state1;

elsif (count = 1015) then --1015

state1 <= not state1;

end if;

end if;

h_strob <= state1;

end process;

end counter;

Приложение Б

Листинг описания блока counter_2 на языке проектирования VHDL

-- Quartus II VHDL Template

-- Single port RAM with single read/write address

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity counter_2 is

port

(

clk : in std_logic;

reset: in std_logic;

v_sync: out std_logic;

v_strob: out std_logic

);

end counter_2;

architecture counter_2 of counter_2 is

signal count: std_logic_vector (9 downto 0);

signal state: std_logic;

signal state1: std_logic;

begin

process (clk, reset, count)

begin

if reset = '1' then count <= "0000000000";

elsif (clk'event and clk = '1') then

if (count = 524) then --524

count <= "0000000000";

else count <= count + 1;

end if;

end if;

end process;

process (clk, reset, count)

begin

if (clk'event and clk = '1') then

if (count = 0) then state <= '0';

elsif (count = 1) then

state <= not state;

end if;

end if;

v_sync <= state;

end process;

process (clk, reset, count)

begin

if (clk'event and clk = '1') then

if (count = 0) then state1 <= '0';

elsif (count = 34) then --34

state1 <= not state1;

elsif (count = 39) then --39

state1 <= not state1;

elsif (count = 44) then

state1 <= not state1;

elsif (count = 49) then

state1 <= not state1;

elsif (count = 54) then

state1 <= not state1;

elsif (count = 59) then

state1 <= not state1;

elsif (count = 64) then

state1 <= not state1;

elsif (count = 69) then

state1 <= not state1;

elsif (count = 74) then

state1 <= not state1;

elsif (count = 79) then

state1 <= not state1;

elsif (count = 84) then

state1 <= not state1;

elsif (count = 89) then

state1 <= not state1;

elsif (count = 94) then

state1 <= not state1;

elsif (count = 99) then

state1 <= not state1;

elsif (count = 104) then

state1 <= not state1;

elsif (count = 109) then

state1 <= not state1;

elsif (count = 114) then

state1 <= not state1;

elsif (count = 119) then

state1 <= not state1;

elsif (count = 124) then

state1 <= not state1;

elsif (count = 129) then

state1 <= not state1;

elsif (count = 134) then

state1 <= not state1;

elsif (count = 139) then

state1 <= not state1;

elsif (count = 144) then

state1 <= not state1;

elsif (count = 149) then

state1 <= not state1;

elsif (count = 154) then

state1 <= not state1;

elsif (count = 159) then

state1 <= not state1;

elsif (count = 164) then

state1 <= not state1;

elsif (count = 169) then

state1 <= not state1;

elsif (count = 174) then

state1 <= not state1;

elsif (count = 179) then

state1 <= not state1;

elsif (count = 184) then

state1 <= not state1;

elsif (count = 189) then

state1 <= not state1;

elsif (count = 194) then

state1 <= not state1;

elsif (count = 199) then

state1 <= not state1;

elsif (count = 204) then

state1 <= not state1;

elsif (count = 209) then

state1 <= not state1;

elsif (count = 214) then

state1 <= not state1;

elsif (count = 219) then

state1 <= not state1;

elsif (count = 224) then

state1 <= not state1;

elsif (count = 229) then

state1 <= not state1;

elsif (count = 234) then

state1 <= not state1;

elsif (count = 239) then

state1 <= not state1;

elsif (count = 244) then

state1 <= not state1;

elsif (count = 249) then

state1 <= not state1;

elsif (count = 254) then

state1 <= not state1;

elsif (count = 259) then

state1 <= not state1;

elsif (count = 264) then

state1 <= not state1;

elsif (count = 269) then

state1 <= not state1;

elsif (count = 274) then

state1 <= not state1;

elsif (count = 279) then

state1 <= not state1;

elsif (count = 284) then

state1 <= not state1;

elsif (count = 289) then

state1 <= not state1;

elsif (count = 294) then

state1 <= not state1;

elsif (count = 299) then

state1 <= not state1;

elsif (count = 304) then

state1 <= not state1;

elsif (count = 309) then

state1 <= not state1;

elsif (count = 314) then

state1 <= not state1;

elsif (count = 319) then

state1 <= not state1;

elsif (count = 324) then

state1 <= not state1;

elsif (count = 329) then

state1 <= not state1;

elsif (count = 334) then

state1 <= not state1;

elsif (count = 339) then

state1 <= not state1;

elsif (count = 344) then

state1 <= not state1;

elsif (count = 349) then

state1 <= not state1;

elsif (count = 354) then

state1 <= not state1;

elsif (count = 359) then

state1 <= not state1;

elsif (count = 364) then

state1 <= not state1;

elsif (count = 369) then

state1 <= not state1;

elsif (count = 374) then

state1 <= not state1;

elsif (count = 379) then

state1 <= not state1;

elsif (count = 384) then

state1 <= not state1;

elsif (count = 389) then

state1 <= not state1;

elsif (count = 394) then

state1 <= not state1;

elsif (count = 399) then

state1 <= not state1;

elsif (count = 404) then

state1 <= not state1;

elsif (count = 409) then

state1 <= not state1;

elsif (count = 414) then

state1 <= not state1;

elsif (count = 419) then

state1 <= not state1;

elsif (count = 424) then

state1 <= not state1;

elsif (count = 429) then

state1 <= not state1;

elsif (count = 434) then

state1 <= not state1;

elsif (count = 439) then

state1 <= not state1;

elsif (count = 444) then

state1 <= not state1;

elsif (count = 449) then

state1 <= not state1;

elsif (count = 454) then

state1 <= not state1;

elsif (count = 459) then

state1 <= not state1;

elsif (count = 464) then

state1 <= not state1;

elsif (count = 469) then

state1 <= not state1;

elsif (count = 474) then

state1 <= not state1;

elsif (count = 479) then

state1 <= not state1;

end if;

end if;

v_strob <= state1;

end process;

end counter_2;

Размещено на Allbest.ru


Подобные документы

  • Технические характеристики, конструкция, состав монитора "Philips 150B". Принцип работы монитора по структурной схеме и источника питания. Оборудование рабочего места ремонтника. Разработка алгоритма поиска неисправностей. Расчет стабилизатора напряжения.

    курсовая работа [2,6 M], добавлен 02.11.2011

  • Эргономические требования к компоновке информации на экране монитора. Разработка структурной, функциональной и принципиальной схемы Монитора пациента. Дизайнерская проработка конструкции медицинского прибора с помощью компьютерного моделирования.

    дипломная работа [1,0 M], добавлен 15.02.2013

  • Разработка контроллера прибора, обеспечивающего реализацию функций оцифровки аналоговых данных с выводом результата в виде графического вида сигнала. Выбор контроллера и элементов схемы, их описание. Общий алгоритм работы и листинг программы управления.

    курсовая работа [1,1 M], добавлен 26.12.2012

  • Технические характеристики устройства монитора ACER AL532. Описание схемы электрической принципиальной. Параметры устройства, измеряемые при регулировке после ремонта. Расчёт интенсивности отказа электронной схемы. Методики измерения параметров.

    курсовая работа [1,2 M], добавлен 02.12.2016

  • Разработка контроллера управления цифровой частью системы, перечень выполняемых команд. Описание алгоритма работы устройства, его структурная организация. Синтез принципиальной электрической схемы, особенности аппаратных затрат и потребляемой мощности.

    курсовая работа [318,8 K], добавлен 14.06.2011

  • Разработка микроконтроллера для контроля ритма дыхания больного в реанимационной палате. Структурная и принципиальная схемы микропроцессорного контроллера. Модули процессора, памяти, ввода и вывода, режимы индикации. Описание работы, принципиальная схема.

    курсовая работа [197,6 K], добавлен 06.12.2013

  • Проектирование универсального цифрового контроллера, его функции, возможности и недостатки. Разработка структурной схемы устройства. Расчет элементов печатных плат. Компоновочный расчет устройства. Стоимостная оценка затрат, эргономичность устройства.

    дипломная работа [1,5 M], добавлен 29.06.2010

  • Преобразование релейно-контактной схемы управления механизмом подъема крана с использованием силового магнитного контроллера. Группировка и обозначение сигналов. Механические характеристики магнитного контроллера. Функциональные схемы узлов механизма.

    курсовая работа [471,5 K], добавлен 09.04.2012

  • Обзор характеристик контроллера по сбору аналоговой информации и преобразовании ее в цифровую, типы корпусов и исполнений, функциональное назначение выводов. Описание регистров PIC, тактовых генераторов. Система команд, блок ввода аналоговых данных.

    курсовая работа [338,0 K], добавлен 05.09.2011

  • Техническая структура и программно-алгоритмическое обеспечение микропроцессорного регулирующего контроллера МПК Ремиконты Р-130. Разработка функциональной схемы контроллера для реализации автоматической системы регулирования. Схема внешних соединений.

    контрольная работа [403,6 K], добавлен 18.02.2013

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.