Разработка платы процессора

Структурная схема разрабатываемой микропроцессорной системы, ее основные элементы. Схемы микропроцессора CPU, генератора тактовых импульсов G, шинного формирователя, системного контроллера SC, запоминающего устройства ROM и программируемых контроллеров.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык русский
Дата добавления 02.10.2010
Размер файла 61,5 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

1. Задание

Вариант 4.3:

Для двухкоординатного измерительного прибора с пределами измерения по координатным осям и дискретностью отсчёта 0,1мм разработать плату микропроцессора и программу, обеспечивающие:

Запоминание координат заданного числа точек (не более 20) и передача их в центральную микроЭВМ в параллельном коде по команде центральной микроЭВМ.

2. Структура платы

Микропроцессорные системы представляют собой совокупность больших интегральных схем (БИС), реализующих различные функции и связанных между собой внешними электрическими магистралями (шинами), по которым происходит обмен информации. Структурная схема разрабатываемой МП-системы представлена на рисунке 2.1.

В этой системе можно выделить следующие основные элементы:

- генератор тактовых импульсов ГТИ;

- блок микропроцессора МП;

- запоминающее устройство ЗУ для хранения программ и данных, включающее в себя ПЗУ и ОЗУ;

- устройства ввода-вывода (УВВ), служащие для обмена информацией с ВУ;

- программируемый контроллер прерываний (ПКП) для обработки сигналов запросов на обслуживание УВВ;

- программируемый контроллер клавиатуры и индикации ПККИ для ввода данных с клавиатуры и вывода на дисплей.

Данная МП-система разрабатывается для двухкоординатного измерительного прибора. Поэтому структура платы будет включать 2 цифровых 10-разрядных датчика, которые будут подключены к порту А, В и С программного периферийного адаптера ППА.

Все устройства МП-системы связаны между собой с помощью сопряжений, называемых интерфейсами и представляющих собой совокупность технических (ИС, провода, шины) и программных (сигналы и программ управления обменом информацией) средств.

3. Описание схем

3.1 Микропроцессор CPU

Основными элементами МП КР580ВИ80 являются арифметико-логическое устройство (АЛУ) и устройство управления (УУ). Информация в МП поступает через шины, эта информация обрабатывается в соответствии с последовательностью команд, записанных в схемах памяти (ЗУ). Для получения кода команды, которую необходимо исполнить, МП формирует на шине адреса (ША) адрес ячейки памяти, в которой она записана, а на шине управления (ШУ) - управляющие сигналы, обеспечивающие в ЗУ режим считывания информации. Считанный из ЗУ код команды поступает по шине данных (ШД) в МП, где он записывается в регистр команд, расшифровывается и в соответствии с записанным кодом выполняются микрооперации, необходимые для реализации команды.

Для многих команд требуются процедуры обмена информацией не только с ЗУ, но и с разнообразными внешними устройствами ввода-вывода. При реализации обмена данными МП формирует на ША адрес ячейки ЗУ или адрес внешнего устройства, к которому он обращается. Одновременно МП по ШУ формирует управляющие сигналы, настраивающие подключаемое устройство на необходимый режим обмена информацией (запись, считывание, хранение и т.д.).

После формирования кода адреса и управляющих сигналов по ШД передается информация. Эта информация преобразуется в МП в соответствии с кодом команды, записанной в его регистре команд. Для этого УУ МП формирует управляющие сигналы на АЛУ. Одновременно с выполнением текущей команды УУ МП формирует адресный код следующей команды в регистре адреса.

Согласование характеристик сигналов, передаваемых по системным шинам, с внутренними сигналами различных блоков и МП осуществляется интерфейсными схемами соответствующих устройств.

Программный счетчик (РС) используется для хранения адреса выполняемой команды. Содержание РС изменяется автоматически после выполнения команды, указывая адрес следующей команды. Указатель стека содержит адрес ячейки памяти, где записан адрес первой команды, которую необходимо выполнить после отработки подпрограммы прерывания.

Обрабатываемые числовые данные, коды операций и результаты вычислений вводятся и выводятся по одной и той же ШД. Чтобы различать назначение информации, передаваемой по ШД, используется строго определенная последовательность выполнения команд, которая задается импульсами F1 и F2 генератора тактовых импульсов. Тактовая частота для процессора КР580ВМ80А -- до 2,5 МГц.

3.2 Генератор тактовых импульсов G

КР580ГФ24 -- микросхема специализированного генератора тактовых импульсов (ГТИ), предназначенная для совместной работы с CPU КР580ВМ80А.

Микросхема формирует: тактовые сигналы F1 и F2 cо взаимосмещёнными фронтами; тактовый сигнал F2', по уровню синхронизированный с сигналом F2; сигнал STSTB «Строб состояния», который, поступая на системный контроллер, фиксирует состояние шины данных микропроцессора; сигнал RESET «Установка».

Генератор опорной частоты при подключении к выводам X1 и X2 кварцевого резонатора обеспечивает высокую стабильность частоты, определяемую основной частотой возбуждения кварцевого резонатора, резонансная частота которого должна быть в 9 раз больше выбранной тактовой частоты микропроцессора.

Выход генератора опорной частоты выведен на внешний вывод OSC и соединён внутри микросхемы со счетчиком-делителем, входящим в состав тактового генератора.

Инверсный сигнал STB, длительность которого равна одному периоду частоты опорного генератора, формируется микросхемой КР580ГФ24 при поступлении на ее вход с микропроцессора КР580ВМ80А сигнала SYN «Синхронизация», свидетельствующего о начале машинного цикла. При поступлении входного сигнала RESIN микросхема КР580ГФ24 вырабатывает сигнал RESET, синхронизированный с тактовым сигналом F2. По сигналу RESET осуществляется установка в исходное состояние различных устройств микропроцессорной системы.

3.3 Шинный формирователь

Микросхема КР580ВА86 - двунаправленный 8-разрядный шинный формирователь, предназначенный для обмена данными между микропроцессором и системной шиной; обладает повышенной нагрузочной способностью.

Каждая микросхема состоит из восьми одинаковых функциональных блоков и схемы управления. При помощи схемы управления производиться разрешение передачи (управление 3-м состоянием выхода) и выбор направления передачи данных.

В зависимости от состояния управляющих сигналов ОЕ и ТF микросхемы могут работать в режиме передачи данных или в режиме «выключено»:

при ОЕ = 0, ТF = 1 - направление передачи ;

при ОЕ = 0, ТF = 0 - направление передачи ;

при ОЕ = 0, выходы каналов А и В переводятся в высокоимпедансное состояние.

Так как адресная шина 16-разрядная и однонаправленная, то соответственно в буфере используются две БИС, а на управляющие входы TF подан сигнал высокого уровня (логической «1»).

3.4 Системный контроллер SC

Системный контроллер КР580ВК38 выполняет роль буфера ШД и формирует сигналы управления для устройств памяти и устройств ввода-вывода. В качестве SC в МП-системе используется БИС КР580ВК38.

Часть сигналов управления передаётся из МП по ШД, эти сигналы управления фиксируются системным контроллером в начале каждого цикла команды по сигналу строба STSTB. Три сигнала управления: HLDA, DBIN и WR подаются на системный контроллер от МП. На основе принятых сигналов SC вырабатывает управляющие сигналы: MEMW - запись в ячейку памяти; IOW - запись в устройство ввода-вывода; MEMR - чтение из памяти; IOR - чтение из УВВ; INTA - подтверждение прерывания; BUSEN - внешний сигнал разрешения магистралей, подаваемый в режиме прямого доступа к памяти и переводящий выходы системного контроллера в высокоимпедансное состояние.

3.5 Оперативное запоминающее устройство RAM

ОЗУ К537РУ10 используется для введения в процессор ЭВМ новых данных и программ, а также для хранения текущих результатов или данных, полученных в процессе работы. Информация, занесённая пользователем, может быть стёрта, заменена полностью или изменена при необходимости, в зависимости от решаемой задачи. В разрабатываемой МП-системе используется БИС ОЗУ типа К537РУ10. Данная микросхема хранит 2 КБайт информации.

Выбор нужной ячейки памяти производится с помощью 11 адресных сигналов . Микросхема имеет следующие входы: CS - выбор кристалла; OE - разрешение вывода; W/R - запись или чтение.

В МП-системе ОЗУ работает как в режиме чтения, так и в режиме записи информации.

3.6 Постоянное запоминающее устройство ROM

ПЗУ К573РФ2 - это устройство, из которого можно считывать только заранее записанную информацию. Она заносится в ПЗУ в процессе изготовления и в последствие не изменяется. В разрабатываемой МП-системе используется БИС ОЗУ типа К573РФ2. Данная микросхема хранит 2 КБайт информации. В отличие от ОЗУ, ПЗУ работает только в режиме чтения информации.

3.7 Декодер DMX

Адрес устройства, которому МП разрешает работать с шиной данных, в микро-ЭВМ задаётся тремя старшими разрядами (13,14,15) шины адреса. Наиболее удобен для такого выбора дешифратор К555ИД7, имеющий 3 входа и 8 выходов. Схема имеет также 3 управляющих входа Дешифрация происходит, когда на входах - логический «0», а на входе - логическая «1». При других сочетаниях сигналов на управляющих входах все выходы имеют уровень напряжения логической «1».

3.8 Программируемый периферийный адаптер PPI

ППА КР580ВВ55А используется в качестве портов параллельного обмена информацией без каких-либо внешних дополнительных логических схем. Логический «0» на входе CS разрешает информационную связь МП с ППА. При отсутствии данного сигнала и одного из сигналов RD или WR линии данных находятся в высокоомном состоянии. В составе ППА имеется 3 канала А, В и С, образующих 8-разрядные порты с различными характеристиками. Функциональное назначение каналов определяется кодом управляющего слова, загружаемового в регистр управления адаптера. Выбор одного из каналов управляющего слова осуществляется с помощью адресных входов А1 и А0, подключенных к младшим разрядам ША. Направление обмена задают управляющими сигналами, поступающими на вход RD и WR.

Структура управляющего слова представлена в таблице 3.1

Таблица 3.1

D7

D6

D5

D4

D3

D2

D1

D0

Н-код

1

0

0

1

1

0

1

1

= 9В

Содержимое разрядов D6, D5 и D2 соответствует нулевому режиму работу канала А, В, старшего и младшего подканала С. В этом режиме осуществляется обмен данными с внешним устройством через каналы А, В, Смл, Сст без управляющих сигналов о готовности к работе и без подтверждения возможности обмена. Данные, выводимые из МП по командам вывода, фиксируются в соответствующих регистрах ППА, а затем по линиям связи передаются во ВУ. Эти данные хранятся в ППА до выполнения очередной команды вывода, после чего записанная в портах информация обновляется. В режиме ввода данных каналы ППА работают без запоминания.

Содержимое разрядов D4, D3, D1 и D0 говорит о том, что каналы А, В, Смл, Сст запрограммированы на ввод данных.

3.9 Программируемый контроллер прерываний PIC

Программируемый контроллер прерываний КР580ВИ59 позволяет свести до минимума аппаратные затраты на реализацию системы прерываний и обеспечивает широкое разнообразие выполняемых функций, задаваемых программно. Один контроллер может обеспечивать обработку восьми запросов на прерывание. Путём каскадного включения контроллеров число обрабатываемых прерываний может быть увеличено до 64.

При поступлении на вход запросов на прерывания IR7-IR1 одного из сигналов ПКП вырабатывает код команды CALL и два байта адреса, что обеспечивает переход на программу обработки прерывания.

Таблица 3.5 - УСИ-1

А0

D7

D6

D5

D4

D3

D2

D1

D0

Н-код

0

0

0

0

1

0

1

1

0

= 16

Таблица 3.6 - УСИ-2

А0

D7

D6

D5

D4

D3

D2

D1

D0

H-код

1

0

0

0

0

0

1

1

1

= 07

Слово УСИ-1 определяет последовательность инициализации, которая должна выполняться с запретом прерываний. Под воздействием этого УС сбрасываются все регистры, входу IR0 присваивается наивысший приоритет, а входу IR7 - низший. По умолчанию ПКП вводится в режим векторного прерывания с фиксированными приоритетами. При этом режиме каждому входу запросов прерывания присваивается фиксированный приоритет в порядке возрастания, запрос с более высоким приоритетом прерывает обслуживание прерывания с меньшим приоритетом.

В разрядах D7-D5 УСИ-1 расположены старшие разряды младшего байта адреса подпрограммы (А7=0, А6=0, А5=0). Сигнал высокого логического уровня в разряде D2 соответствует формату таблицы переходов - интервал 4 байта. И так как в разрабатываемой МП-системе всего один ПКП, в разряде D1 данного УС будет так же сигнал высокого логического уровня.

Слово УСИ-2 представляет собой старший байт начального адреса расположения подпрограмм обслуживания прерываний в массиве памяти.

3.10 Программируемый контроллер клавиатуры и индикации PIC

Контроллер КР580ВВ79 состоит из двух функционально-автономных частей: клавиатурной и индикаторной. Клавиатурная часть микросхемы обеспечивает ввод информации в контроллер через входы RET7 - RET0 с клавиатуры или матрицы контактных датчиков.

Для хранения вводимой информации в контроллере предусмотрено ОЗУ ёмкостью 8 байт, работающее по принципу «первый вошел - первый вышел». При поступлении информации в буферное ОЗУ контроллер вырабатывает сигнал запроса прерывания INT.

В клавиатурной части контроллера предусмотрен режим обнаружения ошибок при одновременном замыкании двух или более контактов, а также введена специальная схема подавления дребезга. Индикаторная часть микросхемы обеспечивает ввод информации по двум 4-разрядным каналам DSPA3 - DSPA0 и DSPB3 - DSPB0 в виде двоичного кода на 8- или 16-разрядные цифровые или алфавитно-цифровые индикаторы.

Назначение некоторых выводов:

CLR - вход установки микросхемы в исходное состояние;

CLK - вход синхронизации, на который поступает сигнал F2' с генератора тактовой частоты;

- сигнал управления записью/чтением команд или данных (при сигнале низкого логического уровня записываются или считываются данные, а при сигнале высокого логического уровня записывается команда или считывается слово состояния контроллера);

- вход сигала управления для режима сканирования клавиатуры или стробирующего сигнала для режима ввода по стробу;

SH - вход сигнала сдвига, используемого для сканирования клавиатуры;

- выходной сигнал гашения отображения.

Перед программированием режима работы ПККИ микросхема должна быть установлена в исходное состояние подачей на вход сброса CLR напряжения высокого уровня длительностью не менее 6 тактовых импульса. УС ПККИ занимает 1 байт и имеет следующий вид:

Таблица 3.7 - УСО режима работы клавиатуры и дисплея

D7

D6

D5

D4

D3

D2

D1

D0

Н-код

0

0

0

0

0

0

0

0

= 00

Тремя старшими разрядами D7 - D0 определяется код операции. Разрядам D4, D3 соответствует режим работы дисплея - на 8 символов с вводом слева, разрядам D2, D1 - режим одиночного нажатия клавиатуры. Сигнал низкого логического уровня в разряде D0 определяет сканирование клавиатуры в режиме 4-битногодвоичного счётчика. Таким образом в том режиме опрос клавиатуры происходит дважды в каждом цикле полного пересчёта счётчика.

4. Адресация элементов

4.1 Оперативное запоминающее устройство RAM

Таблица 4.1 - Адресация ПЗУ

А

15

14

13

12

11

10

9

8

7

6

5

4

3

2

1

0

Н-код

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

=0000

0

0

0

0

0

1

1

1

1

1

1

1

1

1

1

1

=07FF

4.2 Оперативное запоминающее устройство RАM

Таблица 4.2 - Адресация ОЗУ

А

15

14

13

12

11

10

9

8

7

6

5

4

3

2

1

0

Н-код

0

0

0

0

1

0

0

0

0

0

0

0

0

0

0

0

=0800

0

0

0

0

1

1

1

1

1

1

1

1

1

1

1

1

=0FFF

4.3 Программируемый периферийный адаптер PPI

Таблица 4.3 - Адресация ППА

А

15

14

13

12

11

10

9

8

Н-код

7

6

5

4

3

2

1

0

Порт А

0

0

0

1

0

х

0

0

= 10

Порт В

0

0

0

1

0

х

0

1

= 11

Порт С

0

0

0

1

0

х

1

0

= 12

РУС

0

0

0

1

0

х

1

1

= 13

4.4 Программируемый контроллер прерываний PIC

Таблица 4.4 - Адресация ПКП

А

15

14

13

12

11

10

9

8

Н-код

7

6

5

4

3

2

1

0

0

0

0

1

1

х

0

0

= 18

0

0

0

1

1

х

0

1

= 19

4.5 Программируемый контроллер клавиатуры и индикации PIC

Таблица 4.5 - Адресация ПККИ

А

15

14

13

12

11

10

9

8

Н-код

7

6

5

4

3

2

1

0

0

0

1

0

0

х

0

0

= 20

5. Алгоритм

Алгоритм работы программы можно представить в виде следующих этапов:

1. Начало работы микропроцессорной системы;

2. Опрос датчиков D1 и D2: снять координаты точек (Xn;Yn), где ;

3. Запомнить измеренные данные;

4. Передать данные в параллельном коде в центральную ЭВМ по её команде.

6. Инициализация

Таблица 6.1 - Программа инициализации

Адрес

Код

Команда

Комментарий

0000

FB

DI

Запрет прерываний

0001

0002

3E

MVI A, 9В

Загрузка УС в ППА

0003

0004

D3

13

OUT, 13

0005

0006

3E

16

MVI A, 16

Загрузка УСИ-1 в ПКП

0007

0008

D3

18

OUT, 18

0009

000A

3E

07

MVI A, 07

Загрузка УСИ-2 в ПКП

000B

000C

D3

19

OUT, 19

000D

000E

3E

00

MVI A, 00

Загрузка УС в ПККИ

000F

0010

D3

20

OUT, 20

0011

FB

EI

Разрешение прерываний

Список использованных источников

1. Ананченко Л.Н., Мановец Ю.Н., Маркарьян А.Г. Аппаратное и программное обеспечение простых микропроцессорных систем. Часть 1. Методическое обеспечение. - Ростов-н/Д.: ДГТУ, 2004;

2. Бесекерский В.А., Ефимов Н.Б., Зиатдинов С.И. и др. Микропроцессорные системы автоматического управления. Под общ. ред. Бесекерского В.А. - Л.: Машиностроение, 1988;

3. Нестеренко И.Н. Микропроцессоры и ЭВМ. Курс лекций. - ДГТУ, 2009;

4. Якубовский С.В., Ниссельсон Л.И., Кулешова В.И. Цифровые и аналоговые интегральные микросхемы: Справочник. Под редакцией Якобовского С.В. - М.: Радио и связь, 1990.


Подобные документы

  • Особенности разработки устройства, которое обеспечивает прием данных, их обработку и вывод на индикацию. Выбор микропроцессора по функциональным возможностям и быстродействию работы, генератора тактовых импульсов, контроллера прямого доступа к памяти.

    контрольная работа [655,7 K], добавлен 08.06.2014

  • Проектирование формирователя "пачки" импульсов. Исходные данные к проектированию, анализ задачи, общая схема алгоритма работы устройства, его функциональная и принципиальная схемы, основные параметры. Оценка потребляемой мощности и аппаратных затрат.

    курсовая работа [852,3 K], добавлен 24.06.2013

  • Внедрение микропроцессорной и цифровой техники в устройства управления промышленными объектами. Проектирование схемы детектора фронтов, генератора тактовых импульсов, счетного устройства, блока вывода в устройство обработки, блока индикации и управления.

    курсовая работа [247,5 K], добавлен 15.05.2012

  • Расчет и проектирование управляемого формирователя импульсов, используя заданные входные и выходные параметры. Структурная схема управляемого формирователя импульса и расчет его конструктивных частей: усилителя, мультивибратора, цифрового устройства.

    контрольная работа [157,3 K], добавлен 20.10.2011

  • Разработка структурной и принципиальной схемы микропроцессора. Подключение шины адреса, данных и управления к соответствующим блокам на схеме. Формирование блока устройства памяти (ОЗУ и ПЗУ) и подключение его к шинам блока центрального процессора.

    контрольная работа [220,5 K], добавлен 08.07.2012

  • Общее описание микропроцессорной системы: генератор тактовых импульсов, системный контроллер, шинный формирователь шины адреса, оперативное запоминающее устройство. Синтез электрической принципиальной схемы. Карта распределения адресного пространства.

    курсовая работа [1,2 M], добавлен 13.10.2013

  • Структурная схема вольтметра. Расчет основных параметров. Схемотехника узлов цифрового вольтметра. Генератор тактовых импульсов. Схема устройства формирования импульсов. Цифро-аналоговый преобразователь, устройство сравнения. Схема счета и индикации.

    курсовая работа [2,3 M], добавлен 18.06.2012

  • Синтез распределителя импульсов на двух вариантах триггеров с выбором наилучшего из них по критерию "минимум аппаратных затрат". Построение схемы обнуления по включению питания. Расчет генератора тактовых импульсов. Построение временных диаграмм работы.

    автореферат [279,5 K], добавлен 09.06.2013

  • Сравнительный анализ существующих способов построения телевизионных камер на приборах с зарядовой связью. Разработка структурной схемы. Синтез схемы управления выходным регистром, а также разработка принципиальной схемы генератора тактовых импульсов.

    дипломная работа [2,6 M], добавлен 20.11.2013

  • Этапы проектирования микропроцессорной системы для контроля переданной информации использованием модифицированного кода Хемминга. Назначение микропроцессорного комплекта, генератора тактовых импульсов. Разработка аппаратной и программной части системы.

    курсовая работа [576,2 K], добавлен 21.01.2011

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.