Разработка VHDL-описания последовательных схем с регулярной структурой

Тип синтезируемого устройства: регистр сдвига на синхронных двухступенчатых D-триггерах с запрещающими связями. Синхронный двухступенчатый D-триггер с запрещающими связями. Временные диаграммы и поведенческое описание. Кодировка выходного алфавита.

Рубрика Программирование, компьютеры и кибернетика
Вид отчет по практике
Язык русский
Дата добавления 14.10.2017
Размер файла 269,0 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.


Подобные документы

  • Определение принципов работы с САПР Xilinx WebPACK. Особенности проектирования простейших комбинационных схем на базе ПЛИС. Описание устройства на языке VHDL, набор тестовых воздействий и временные диаграммы его работы. Размещение устройства на кристалле.

    лабораторная работа [318,7 K], добавлен 28.05.2012

  • Проектирование арифметико-логических устройств (АЛУ). Отладка описания логических схем на языке VHDL. Классификация АЛУ по способу представления чисел, характеру использования элементов и узлов. Список стандартных функций АЛУ, его описание на языке VHDL.

    лабораторная работа [633,4 K], добавлен 11.03.2014

  • Идентификаторы, объекты и операции языка VHDL. Последовательные и параллельные операторы. Описание интерфейса устройства. Синтез схем по описаниям на языке VHDL. Последовательность букв и цифр произвольной длины. Цифровое устройство и его модель.

    курсовая работа [132,5 K], добавлен 28.06.2009

  • Изучение логических операций и правил их преобразований. Моделирование цифровых схем, состоящих из логических вентилей. Способы описания работы логического устройства - таблицы истинности, временные диаграммы, аналитические функции, цифровые схемы.

    лабораторная работа [2,1 M], добавлен 02.03.2011

  • Триггер — логическое устройство, способное хранить 1 бит данных. В основе любого триггера находится кольцо из двух инверторов. Определение типа триггера по его характеристическому уравнению. Временные диаграммы наблюдаемые на экране осциллографа.

    лекция [88,1 K], добавлен 05.02.2009

  • Разработка программы, способной зашифровать и расшифровать данные из файла. Синхронные и самосинхронизирующиеся поточные шифры. Суть гаммирования. Линейный рекуррентный регистр. Регистр сдвига с линейной обратной связью. Программная реализация LFSR.

    курсовая работа [172,6 K], добавлен 22.10.2014

  • Разработка устройства управления процессора для выполнения команд сложения, вычитания и поразрядного логического "или", с использованием способов адресации операндов: регистр-регистр, регистр - непосредственно операнд, регистр - прямая адресация памяти.

    курсовая работа [72,8 K], добавлен 21.11.2011

  • Принцип работы процессора (одномагистральная структура). Временные диаграммы, описывающие выполнение микроопераций для каждой команды. Структурная схема управляющего автомата на основе памяти с одним полем адреса. Описание процессора на языке Active VHDL.

    курсовая работа [621,0 K], добавлен 24.09.2010

  • Информация и информационные процессы. Содержательный и алфавитный подходы к измерению количества информации. Определение мощности алфавита информационного сообщения. Описания компьютерной кодировки русских и латинских букв. Использование двоичной системы.

    лабораторная работа [35,3 K], добавлен 04.09.2014

  • Проектирование многофункционального регистра, выполняющего заданный набор микроопераций как основного узла синтезируемого операционного автомата. Описание элементной базы. Описание принципиальной схемы на элементах малой и средней степени интеграции.

    курсовая работа [104,9 K], добавлен 24.09.2010

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.