Проектирование устройства управления и моделирование его работы средствами Multisim

Проектирование схемы устройства управления: автомата для получения сигнала при заданных импульсах синхронизации generator. Выбор и описание элементов схем: ввода/вывода, счетчика. Основные схемы реализации функций, моделирование работы схемы в Multisim.

Рубрика Программирование, компьютеры и кибернетика
Вид курсовая работа
Язык русский
Дата добавления 01.04.2015
Размер файла 2,2 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Министерство образования и науки РФ

ИРКУТСКИЙ НАЦИОНАЛЬНЫЙ ИСЛЕДОВАТЕЛЬСКИЙ ТЕХНИЧЕСКИЙ УНИВЕРСИТЕТ

Факультет кибернетики

Кафедра вычислительной техники

ПОЯСНИТЕЛЬНАЯ ЗАПИСКА

к курсовому проекту по дисциплине: Организация ЭВМ и систем

Проектирование устройства управления и моделирование его работы средствами Multisim

Разработал студент группы АСУбз 12-1Агафонов П.С.

Нормоконтроль Ланина Э.П.

Иркутск 2015

Задание на курсовое проектирование

По курсу

«Организация ЭВМ и систем»

студенту

Агафонов П. С.

Фамилия (инициалы)

Тема проекта

Проектирование устройства управления и моделирование его работы средствами Multisim

Исходные данные:

Методические указания по курсовому проектированию. Вариант №1

Рекомендуемая литература:

1) Кирий В.Г. Теория автоматов. Конспект лекций. Изд. ИрГТУ 2002. -97с.

2) Схемотехника ЭВМ: Учебник для студентов вузов спец.ЭВМ /Под ред. Г.Н.Соловьева. - М.: Высшая школа, 1986.- 391 с

3) Справочник по цифровой схемотехнике / В.И.Зубчук, В.П.Сигорский, А.Н. Шкуро. - Киев, Техника, 1990.- 448с.

4) Хоуп Г. Проектирование цифровых вычислительных устройств на интегральных микросхемах /Пер. с англ. - М.: Мир, 1984. - 400 с.

Графическая часть на 15

листах

Дата выдачи

18

Января 2014 г.

Дата выдачи проекта руководителю

«26»

марта

2015 г.

Руководитель курсового проекта

Ланина Э.П.

Содержание

  • Введение
  • 1. Постановка задачи
  • 2. Анализ заданных сигналов
  • 3. Проектирование схемы устройства управления
    • 3.1 Проектирование автомата для получения сигнала A
    • 3.2 Проектирование автомата для получения сигнала В
    • 3.3 Проектирование автомата для получения сигнала С
  • 4. Выбор и описание элементов схем
    • 4.1 Элементы ввода/вывода
    • 4.2 Логические элементы
    • 4.3 Счётчик
  • 5. Схемы реализации функций
    • 5.1 Схема реализации функции А
    • 5.2 Схема реализации функции В
    • 5.3 Схема реализации функции С
  • 6. Моделирование работы схемы в Multisim
  • Заключение

Введение

Целью данного курсового проекта является разработка и описание работы устройства управления, вырабатывающего заданную по варианту последовательность выходных сигналов.

Необходимо провести анализ заданных последовательностей сигналов, составить функции, описывающие работу устройства, выполнить минимизацию полученных функций, разработать электрическую функциональную схему устройства, и моделировать ее работу в Multisim.

Multisim - это мощная программа для моделирования процессов, расчета и анализа электронных устройств на аналоговых и цифровых элементах. Громадный выбор виртуальных генераторов, осциллографов. Особенностью программы являет собой наличие контрольно-измерительных приборов, по внешнему виду и характеристикам приближенных к их промышленным аналогам. Программа просто осваивается и достаточно удобна в работе. После составления схемы и ее упрощения путем оформления подсхем моделирование начинается щелчком обычного выключателя.

импульс синхронизация multisim

1. Постановка задачи

Разработать устройство управления, формирующее сигналы на выходах a,b,c при заданной последовательности импульсов синхронизации generator, показанных на рисунке 1.1

Рисунок 1.1 Последовательность выходных сигналов

2. Анализ заданных сигналов

Имеется входной сигнал generator, который представляет собой чередование прямоугольных симметричных импульсов одинакового периода. Этот сигнал используется для синхронизации работы всех устройств схемы.

Сигнал А представлен следующим образом: 5 тактов -- «1», 1 такт -- «0», Затем идет повторение этой последовательности.

Период = 6 тактов (5 + 1).

Разрядность счетчика = log 2 6. Получается, что нам требуется счётчик с разрядностью не менее 3.

Рисунок 2.1 Временная диаграмма А

Сигнал B представлен следующим образом: 2 такта -- «1», 3 такта -- «0», Затем идет повторение этой последовательности.

Период = 5 тактов (2 +3).

Разрядность счетчика = log 2 5. Получается, что нам требуется счётчик с разрядностью не менее 3.

Рисунок 2.2 Временная диаграмма B

Сигнал С представлен следующим образом: 11,5 тактов -- «1», 11 тактов -- «0», Затем идет повторение этой последовательности.

Период = 22,5 тактов (11 +11,5).

Разрядность счетчика = log 2 22,5. Получается, что нам требуется счётчик с разрядностью не менее 4.

Рисунок 2.3 Временная диаграмма C

3. Проектирование схемы устройства управления

3.1 Проектирование автомата для получения сигнала A

Значение сигнала A в течение 5 тактов = 1, 1 такта - 0 . Далее идет повторение. Период сигнала составляет 6 тактов.

Таблица 1

Q2

Q1

Q0

A

1

0

0

0

1

0

0

0

1

1

1

0

0

1

1

0

0

1

0

1

1

0

1

0

1

0

0

1

1

1

1

0

1

1

1

0

1

0

0

1

1

1

0

0

1

0

1

0

1

1

1

1

0

1

0

0

1

1

0

0

1

1

1

0

1

Сброс

Запишем СКНФ (Совершенная Конъюнктивная Нормальная Форма) функции A:

Для функции “Сброс” требуется получить значение “1” на последнем наборе переменных в таблице 1.

Запишем полученное выражение в виде СДНФ (Совершенная Дизъюнктивная Нормальная Форма):

3.2 Проектирование автомата для получения сигнала В

Значение сигнала В в течение 2 тактов = 1, 3 такта - 0 . Далее идет повторение. Период сигнала составляет 5 тактов.

Таблица 2

Q2

Q1

Q0

B

1

0

0

0

1

0

0

0

1

1

1

0

0

1

1

0

0

1

0

1

1

0

1

0

0

0

0

1

1

0

1

0

1

1

0

0

1

0

0

0

1

1

0

0

0

0

1

0

1

0

1

1

0

1

1

Сброс

Запишем СДНФ (Совершенная Дизъюнктивная Нормальная Форма) функции В:

Проведём минимизацию полученной СДНФ, то есть запишем минимальную ДНФ функции В:

Дальнейшие сокращения невозможны.

Для функции “Сброс” требуется получить значение “1” на последнем наборе переменных в таблице 2.

Запишем полученное выражение в виде СДНФ (Совершенная Дизъюнктивная Нормальная Форма):

Проектирование автомата для получения сигнала С

Значение сигнала С в течение 11 тактов = 0, 11,5 тактов - 1 . Далее идет повторение. Период сигнала составляет 22,5 такта.

Таблица 3

Q4

Q3

Q2

Q1

Q0

C

1

0

0

0

0

0

0

0

0

0

0

0

1

0

1

0

0

0

0

1

0

0

0

0

0

1

0

0

1

0

0

0

1

0

0

0

0

0

0

1

1

0

1

0

0

0

1

1

0

0

0

0

1

0

0

0

1

0

0

1

0

0

0

0

0

0

1

0

1

0

1

0

0

1

0

1

0

0

0

0

1

1

0

0

1

0

0

1

1

0

0

0

0

0

1

1

1

0

1

0

0

1

1

1

0

0

0

1

0

0

0

0

1

0

1

0

0

0

0

0

0

1

0

0

1

0

1

0

1

0

0

1

0

0

0

1

0

1

0

0

1

0

1

0

1

0

0

0

0

1

0

1

1

0

1

0

1

0

1

1

1

0

0

1

1

0

0

1

1

0

1

1

0

0

1

0

0

1

1

0

1

1

1

0

1

1

0

1

1

0

0

1

1

1

0

1

1

0

1

1

1

0

1

0

0

1

1

1

1

1

1

0

1

1

1

1

1

0

1

0

0

0

0

1

1

1

0

0

0

0

1

0

1

0

0

0

1

1

1

1

0

0

0

1

1

0

1

0

0

1

0

1

1

1

0

0

1

0

1

0

1

0

0

1

1

1

1

1

0

0

1

1

1

0

1

0

1

0

0

1

1

1

0

1

0

0

1

0

1

0

1

0

1

1

1

1

0

1

0

1

1

0

1

0

1

1

0

1

1

1

0

1

1

0

1

0

1

0

1

1

1

0

Сброс

Запишем СДНФ (Совершенная Дизъюнктивная Нормальная Форма) функции С:

Проведём минимизацию полученной СДНФ, то есть запишем минимальную ДНФ функции С:

Дальнейшие сокращения невозможны.

Для функции “Сброс” требуется получить значение “1” на последнем наборе переменных в таблице 3.

Запишем полученное выражение в виде СДНФ (Совершенная Дизъюнктивная Нормальная Форма):

4. Выбор и описание элементов схем

Для реализации устройства управления потребуются: генератор слов, логические элементы (И, ИЛИ, НЕ), счётчики и логический анализатор. Ниже приведены описания этих элементов.

4.1 Элементы ввода/вывода

Генератор тактов (Digital clock)

Генерирует тактовые импульсы, использующийся для согласования операций одной или более цифровых схем.

Рисунок 4.1.1 Генератор тактов

Логический анализатор (Logic Analyzer)

Внешний вид логического анализатора показан на рисунке 4.1.2

Рисунок 4.1.2 Логический анализатор

Используется для вывода последовательностей сигналов работы.

4.2 Логические элементы

Таблица 4

Элемент

Схема

Таблица истинности

Описание

И

A | B | Y

-----------------

0 | 0 | 0

0 | 1 | 0

1 | 0 | 0

1 | 1 | 1

A, B - сигналы на входе;

Y - сигнал на выходе.

Если на входе все “1”, то на выходе “1”, иначе на выходе “0”.

ИЛИ

A | B | Y

-----------------

0 | 0 | 0

0 | 1 | 1

1 | 0 | 1

1 | 1 | 1

A, B - сигналы на входе;

Y - сигнал на выходе.

Если на входе все “0”, то на выходе “0”, иначе на выходе “1”.

НЕ

A | Y

-----------

0 | 1

1 | 0

A - сигнал на входе;

Y - сигнал на выходе.

Если на входе “0”, то на выходе “1”.

Если на входе “1”, то на выходе “0”.

4.3 Счётчик

Таблица 5

Схема

Таблица истинности

Описание

Сброс | Выходы

-----------------------------------

RO1 RO2 | D C B A

-----------------------------------

1 1 | 0 0 0 0

0 X | Счёт

X 0 | Счёт

4х разрядный счётчик.

A, B, C, D - выходы.

generator A - синхровход.

generator B - счётный вход.

R01, R02 - входы установки нуля.

5. Схемы реализации функций

5.1 Схема реализации функции А

Функция А:

Функция сброса:

Для реализации функции сигнала А нам потребуется:

- 2 элемента И, один с двумя входами, один с четырьмя входами

- 2 элемент ИЛИ с четырьмя входами;

- 4 элементов НЕ;

Рисунок 5.1.1 Реализация функции а

Рисунок 5.1.2 Временная диаграмма функции А

5.2 Схема реализации функции В

Функция В:

Функция сброса:

Для реализации функции сигнала В нам потребуется:

- 4 элемента И, один с тремя входами, три с четырьмя

- 1 элемент ИЛИ с тремя входами;

- 4 элемента НЕ;

Рисунок 5.2.1 Реализация функции В

Рисунок 5.2.2 Временная диаграмма функции В

5.3 Схема реализации функции С

Функция С:

Функция сброса:

Для реализации функции сигнала С нам потребуется:

- 6 элементов И, два с тремя входами, один с четырьмя, один с пятью, два с шестью;

- 1 элемент ИЛИ с четырьмя входами;

- 6 элементов НЕ;

Рисунок 5.3.1 Реализация функции С

5.3.2 Временная диаграмма функции С

6. Моделирование работы схемы в Multisim

В результате проектирования и выбора элементов была получена схема, разработанная в системе Multisim.

Рисунок 6.1 Разработанная схема

Рисунок 6.2 Результат моделирования работы схемы

Заключение

В процессе выполнения данного курсового проекта были получены уравнения заданных последовательностей сигналов, проведена минимизация полученных в результате анализа временных диаграмм функций заданных сигналов, рассмотрены элементы для разработки схем и разработано устройство управления.

Для разработки был использован программный продукт Multisim12, позволяющий разрабатывать цифровые схемы и моделировать их работу.

Результат моделирования показывает, что разработанное устройство управления выдаёт правильную последовательность сигналов.

Список использованной литературы

1) Справочник по цифровой схемотехнике / В.И.Зубчук, В.П.Сигорский, А.Н. Шкуро. - Киев, Техника, 1990.- 448с.

2) Кирий В.Г. Теория автоматов. Конспект лекций. Изд. ИрГТУ 2002. -97с.

3) Теория автоматов. Конспект лекций./ Кирий В.Г.-Изд.ИрГТУ? 2002. -97с.

4) Проектирование элементов и узлов ЭВМ: Учеб.пособие для спец.ЭВМ для студентов вузов./ Угрюмов Е.П. -М.: Высшая школа, 1987. - 318 с.

5) Хоуп Г. Проектирование цифровых вычислительных устройств на интегральных микросхемах /Пер. с англ. - М.: Мир, 1984. - 400 с.

Размещено на Allbest.ru


Подобные документы

  • Разработка алгоритма работы. Выбор и обоснование структурной схемы. Разработка функциональной схемы блока ввода и блока вывода. Проектирование принципиальной схемы блока ввода и блока вывода, расчет элементов. Разработка программного обеспечения.

    курсовая работа [1,7 M], добавлен 25.12.2011

  • Моделирование заданных команд, внутренних функциональных устройств и объектов ввода-вывода микроконтроллера. Разработка программа для демонстрации совместной работы микроконтроллера и моделируемого внешнего устройства. Компоненты архитектуры ATMega128.

    курсовая работа [3,6 M], добавлен 12.06.2013

  • Проблема охлаждения в компьютере. Выбор и описание прототипов разрабатываемого устройства. Разработка структурной и принципиальной схемы. Разработка программного обеспечения, его выбор и обоснование. Моделирование работы исследуемого устройства.

    курсовая работа [2,7 M], добавлен 10.11.2014

  • Описание алгоритма работы и разработка структурной схемы МКС. Схема вывода аналогового управляющего сигнала, подключения ЖК-дисплея, клавиатуры и аварийного датчика. Разработка блок-схемы алгоритма главной программы работы МКС. Функция инициализации.

    курсовая работа [5,7 M], добавлен 26.06.2016

  • Описание алгоритма функционирования устройства сопряжения, которое подключается к системной шине ISA. Принципиальная и функциональная схемы интерфейсной и операционной части устройства. Моделирование схемы операционной части, построение диаграммы работы.

    курсовая работа [50,7 K], добавлен 13.11.2009

  • Разработка структурной схемы устройства управления учебным роботом. Выбор двигателя, микроконтроллера, микросхемы, интерфейса связи и стабилизатора. Расчет схемы электрической принципиальной. Разработка сборочного чертежа устройства и алгоритма программы.

    курсовая работа [577,8 K], добавлен 24.06.2013

  • Минимизация абстрактного автомата Мили, моделирование его работы. Синтез схемы конечного автомата, микропрограммного автомата и счетчика числа микрокоманд. Разработка цифровой линии задержки. Построение граф-схем исходного и оптимизированного автоматов.

    курсовая работа [823,8 K], добавлен 19.07.2012

  • Осуществление связи между программными средствами NI MultiSim и NI LabVIEW с помощью дополнения Multisim Automation. Правила пользования инструментами добавления. Модель лабораторного виртуального аналога радиоэлектронного устройства и его тестирование.

    лабораторная работа [888,7 K], добавлен 09.01.2011

  • Проектирование схемы выходного каскада кадровой развертки в AutoCAD. Описание программной среды. Команда установки единиц измерения. Описание процесса создания формата А3, заполнения основной надписи, схемы и таблицы. Моделирование электрической схемы.

    курсовая работа [1,6 M], добавлен 21.12.2012

  • Разновидности конструктивных решений реализации весового оборудования. Разработка блок-схемы предустановок, блок-схемы измерения веса, блок-схемы вывода информации о весе в компьютер, блок-схемы устройства и программы работы микропроцессорного блока.

    курсовая работа [525,4 K], добавлен 13.02.2023

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.