Проектирование специализированного микроконтроллера

Защита информации путем криптографического преобразования. Особенности проектирования специализированного микроконтроллера, реализующего полнофункциональное шифрование по алгоритму ГОСТ 28147-89, выполненному на языке VHDL. Простая замена, гаммирование.

Рубрика Программирование, компьютеры и кибернетика
Вид курсовая работа
Язык русский
Дата добавления 28.05.2012
Размер файла 385,9 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Белорусский государственный университет транспорта

Электротехнический факультет

Кафедра "МТиУС"

Курсовая работа

по дисциплине

"Технические средства микропроцессорных систем"

"Проектирование специализированного микроконтроллера"

Выполнил студент группы ЭМ-51 Королев А.А.

Проверил ассистент Кузьмич М.С.

Гомель 2008 г.

Содержание

  • Введение
  • 1. Техническое задание на проектирование
  • 2. Описание алгоритма
  • 2.1 Термины и обозначения
  • 2.2 Логика построения шифра и структура ключевой информации ГОСТа
  • 2.3 Основной шаг криптопреобразования
  • 2.4 Базовые циклы криптографических преобразований
  • 2.5.1 Простая замена
  • 2.5.2 Гаммирование
  • 2.5.3 Гаммирование с обратной связью
  • 2.5.4 Выработка имитовставки к массиву данных
  • Заключение
  • Список использованых источников

Введение

Проблема защиты информации путем ее преобразования, исключающего ее прочтение посторонним лицом волновала человеческий ум с давних времен. История криптографии - ровесница истории человеческого языка. Более того, первоначально письменность сама по себе была криптографической системой, так как в древних обществах ею владели только избранные. Священные книги Древнего Египта, Древней Индии тому примеры.

С широким распространением письменности криптография стала формироваться как самостоятельная наука. Первые криптосистемы встречаются уже в начале нашей эры. Так, Цезарь в своей переписке использовал уже более менее систематический шифр, получивший его имя.

Бурное развитие криптографические системы получили в годы первой и второй мировых войн. Начиная с послевоенного времени и по нынешний день появление вычислительных средств ускорило разработку и совершенствование криптографических методов.

Почему проблема использования криптографических методов в информационных системах (ИС) стала в настоящий момент особо актуальна?

С одной стороны, расширилось использование компьютерных сетей, в частности глобальной сети Интернет, по которым передаются большие объемы информации государственного, военного, коммерческого и частного характера, не допускающего возможность доступа к ней посторонних лиц.

С другой стороны, появление новых мощных компьютеров, технологий сетевых и нейронных вычислений сделало возможным дискредитацию криптографических систем еще недавно считавшихся практически не раскрываемыми.

контроллер криптографическое преобразование информация

1. Техническое задание на проектирование

В курсовой работе необходимо спроектировать специализированный микроконтроллер, реализующий полнофункциональное шифрование по алгоритму ГОСТ 28147-89, выполненный на языке VHDL.

Контроллер содержит следующие входы и выходы:

1. Параллельный вход данных разрядностью 8 бит (“input”);

2. Тактовый сигнал чтения входных данных (“CLK”);

3. Сигнал окончания потока входных данных (“end_strm”);

4. Параллельный выход данных разрядностью 8 бит (“output”);

5. Сигнал готовности данных (“rdi”);

6. Сигнал окончания преобразования (“stop”).

2. Описание алгоритма

2.1 Термины и обозначения

Описание стандарта шифрования Российской Федерации содержится в документе - "Алгоритм криптографического преобразования данных ГОСТ 28147-89". То, что в его названии вместо термина "шифрование" фигурирует более общее понятие "криптографическое преобразование", вовсе не случайно. Помимо нескольких тесно связанных между собой процедур шифрования, в документе описан один построенный на общих принципах с ними алгоритм выработки имитовставки. Последняя является не чем иным, как криптографической контрольной комбинацией, то есть кодом, вырабатываемым из исходных данных с использованием секретного ключа с целью имитозащиты, или защиты данных от внесения в них несанкционированных изменений.

На различных шагах алгоритмов ГОСТа данные, которыми они оперируют, интерпретируются и используются различным образом. В некоторых случаях элементы данных обрабатываются как массивы независимых битов, в других случаях - как целое число без знака, в третьих - как имеющий структуру сложный элемент, состоящий из нескольких более простых элементов. Поэтому во избежание путаницы следует договориться об используемых обозначениях.

Элементы данных в данной работе обозначаются заглавными латинскими буквами с наклонным начертанием (например, X). Через |X| обозначается размер элемента данных X в битах. Таким образом, если интерпретировать элемент данных X как целое неотрицательное число, можно записать следующее неравенство: .

Если элемент данных состоит из нескольких элементов меньшего размера, то этот факт обозначается следующим образом: X= (X0, X1. Xn-1) =X0 ||X1|| … || Xn-1.

Процедура объединения нескольких элементов данных в один называется конкатенацией данных и обозначается символом "||". Для размеров элементов данных должно выполняться следующее соотношение: |X| = |X0| + |X1|+| Xn-1|.

При задании сложных элементов данных и операции конкатенации составляющие элементы данных перечисляются в порядке возрастания старшинства. Иными словами, если интерпретировать составной элемент и все входящие в него элементы данных как целые числа без знака, то можно записать следующее равенство:

В алгоритме элемент данных может интерпретироваться как массив отдельных битов, в этом случае биты, обозначаем той же самой буквой, что и массив, но в строчном варианте, как показано на следующем примере:

Если над элементами данных выполняется некоторая операция, имеющая логический смысл, то предполагается, что данная операция выполняется над соответствующими битами элементов. Иными словами , где п = |A| = |B|, а символом "" обозначается произвольная бинарная логическая операция; как правило, имеется ввиду операция исключающего или, она же - операция суммирования по модулю 2: a b = (a + b) mod2.

2.2 Логика построения шифра и структура ключевой информации ГОСТа

Если внимательно изучить оригинал ГОСТ 28147-89, можно заметить, что в нем содержится описание алгоритмов нескольких уровней. На самом верхнем находятся практические алгоритмы, предназначенные для шифрования массивов данных и выработки для них имитовставки. Все они опираются на три алгоритма низшего уровня, называемые в тексте ГОСТа циклами. Эти фундаментальные алгоритмы упоминаются в данной статье как базовые циклы, чтобы отличать их от всех прочих циклов. Они имеют следующие названия и обозначения, последние приведены в скобках и смысл их будет объяснен позже:

цикл зашифрования (32-З);

цикл расшифрования (32-Р);

цикл выработки имитовставки (16-З).

В свою очередь, каждый из базовых циклов представляет собой многократное повторение одной единственной процедуры, называемой для определенности далее в настоящей работе основным шагом криптопреобразования.

Таким образом, чтобы разобраться в ГОСТе, надо понять три следующие вещи:

а) что такое основной шаг криптопреобразования;

б) как из основных шагов складываются базовые циклы;

в) как из трех базовых циклов складываются все практические алгоритмы ГОСТа.

Прежде чем перейти к изучению этих вопросов, следует поговорить о ключевой информации, используемой алгоритмами ГОСТа. В соответствии с принципом Кирхгофа, которому удовлетворяют все современные известные широкой общественности шифры, именно ее секретность обеспечивает секретность зашифрованного сообщения. В ГОСТе ключевая информация состоит из двух структур данных. Помимо собственно ключа, необходимого для всех шифров, она содержит еще и таблицу замен. Ниже приведены основные характеристики ключевых структур ГОСТа.

1. Ключ является массивом из восьми 32-битовых элементов кода, далее в настоящей работе он обозначается символом K: K= . В ГОСТе элементы ключа используются как 32-разрядные целые числа без знака: . Таким образом, размер ключа составляет 32·8 = 256 бит или 32 байта.

2. Таблица замен может быть представлена в виде матрицы размера 8x16, содержащей 4-битовые элементы, которые можно представить в виде целых чисел от 0 до 15. Строки таблицы замен называются узлами замен, они должны содержать различные значения, то есть каждый узел замен должен содержать 16 различных чисел от 0 до 15 в произвольном порядке. В настоящей статье таблица замен обозначается символом H: Н = , . Таким образом, общий объем таблицы замен равен: 8 узлов 16 элементов/узел 4 бита/элемент = 512 бит или 64 байта.

2.3 Основной шаг криптопреобразования

Основной шаг криптопреобразования по своей сути является оператором, определяющим преобразование 64-битового блока данных. Дополнительным параметром этого оператора является 32-битовый блок, в качестве которого используется какой-либо элемент ключа. Схема алгоритма основного шага приведена на рисунке 1. Ниже даны пояснения к алгоритму основного шага:

Шаг 0. Определяет исходные данные для основного шага криптопреобразования:

N - преобразуемый 64-битовый блок данных, в ходе выполнения шага его младшая () и старшая (N2) части обрабатываются как отдельные 32-битовые целые числа без знака. Таким образом, можно записать N= (N1,N2).

X-32 - битовый элемент ключа;

Шаг 1. Сложение с ключом. Младшая половина преобразуемого блока складывается по модулю 232 с используемым на шаге элементом ключа, результат передается на следующий шаг;

Шаг 2. Поблочная замена.32-битовое значение, полученное на предыдущем шаге, интерпретируется как массив из восьми 4-битовых блоков кода: S = (S0,S1,S2,S3,S4,S5,S6,S7). Далее значение каждого из восьми блоков заменяется новым, которое выбирается по таблице замен следующим образом: значение блока Si меняется на Si-тый по порядку элемент (нумерация с нуля) i-того узла замен (т.е. i-той строки таблицы замен, нумерация также с нуля). Другими словами, в качестве замены для значения блока выбирается элемент из таблицы замен с номером строки, равным номеру заменяемого блока, и номером столбца, равным значению заменяемого блока как 4-битового целого неотрицательного числа. Теперь становится понятным размер таблицы замен: число строк в ней равно числу 4-битовых элементов в 32 - битовом блоке данных, то есть восьми, а число столбцов равно числу различных значений 4-битового блока данных, равному как известно 24, шестнадцати.

Шаг 3. Циклический сдвиг на 11 бит влево. Результат предыдущего шага сдвигается циклически на 11 бит в сторону старших разрядов и передается на следующий шаг. На схеме алгоритма символом R^ обозначена функция циклического сдвига своего аргумента на 11 бит влево, т.е. в сторону старших разрядов.

Шаг 4. Побитовое сложение: значение, полученное на шаге 3, побитно складывается по модулю 2 со старшей половиной преобразуемого блока.

Шаг 5. Сдвиг по цепочке: младшая часть преобразуемого блока сдвигается на место старшей, а на ее место помещается результат выполнения предыдущего шага.

Шаг 6. Полученное значение преобразуемого блока возвращается как результат выполнения алгоритма основного шага криптопреобразования.

2.4 Базовые циклы криптографических преобразований

Как отмечено в начале настоящей статьи, ГОСТ относится к классу блочных шифров, то есть единицей обработки информации в нем является блок данных. Следовательно, вполне логично ожидать, что в нем будут определены алгоритмы для криптографических преобразований, то есть для зашифрования, расшифрования и "учета" в контрольной комбинации одного блока данных. Именно эти алгоритмы и называются базовыми циклами ГОСТа, что подчеркивает их фундаментальное значение для построения этого шифра.

Базовые циклы построены из основных шагов криптографического преобразования, рассмотренного в предыдущем разделе. В процессе выполнения основного шага используется только один элемент ключа, в то время как ключ ГОСТ содержит восемь таких элементов. Следовательно, чтобы ключ был использован полностью, каждый из базовых циклов должен многократно выполнять основной шаг с различными его элементами. Вместе с тем кажется вполне естественным, что в каждом базовом цикле все элементы ключа должны быть использованы одинаковое число раз, по соображениям стойкости шифра это число должно быть больше одного.

Все сделанные выше предположения, опирающиеся просто на здравый смысл, оказались верными. Базовые циклы заключаются в многократном выполнении основного шага с использованием разных элементов ключа и отличаются друг от друга только числом повторения шага и порядком использования ключевых элементов. Ниже приведен этот порядок для различных циклов.

1. Цикл зашифрования 32-З:

2. Цикл расшифрования 32-Р:

3. Цикл выработки имитовставки 16-З:

Каждый из циклов имеет собственное буквенно-цифровое обозначение, соответствующее шаблону "n-X", где первый элемент обозначения (n), задает число повторений основного шага в цикле, а второй элемент обозначения (X), буква, задает порядок зашифрования ("З") или расшифрования ("Р") в использовании ключевых элементов. Этот порядок нуждается в дополнительном пояснении:

Цикл расшифрования должен быть обратным циклу зашифрования, то есть последовательное применение этих двух циклов к произвольному блоку должно дать в итоге исходный блок, что отражается следующим соотношением: , где T - произвольный 64-битовый блок данных, (T) - результат выполнения цикла X над блоком данных T. Для выполнения этого условия для алгоритмов, подобных ГОСТу, необходимо и достаточно, чтобы порядок использования ключевых элементов соответствующими циклами был взаимно обратным. В справедливости записанного условия для рассматриваемого случая легко убедиться, сравнив приведенные выше последовательности для циклов 32-З и 32-Р.

Из сказанного вытекает одно интересное следствие: свойство цикла быть обратным другому циклу является взаимным, то есть цикл 32-З является обратным по отношению к циклу 32-Р. Другими словами, зашифрование блока данных теоретически может быть выполнено с помощью цикла расшифрования, в этом случае расшифрование блока данных должно быть выполнено циклом зашифрования. Из двух взаимно обратных циклов любой может быть использован для зашифрования, тогда второй должен быть использован для расшифрования данных, однако стандарт ГОСТ28147-89 закрепляет роли за циклами и не предоставляет пользователю права выбора в этом вопросе.

Цикл выработки имитовставки вдвое короче циклов шифрования, порядок использования ключевых элементов в нем такой же, как в первых 16 шагах цикла зашифрования, в чем нетрудно убедиться, рассмотрев приведенные выше последовательности, поэтому этот порядок в обозначении цикла кодируется той же самой буквой "З".

Схемы базовых циклов приведены на рисунках 2а-в. Каждый из них принимает в качестве аргумента и возвращает в качестве результата 64-битовый блок данных, обозначенный на схемах N. Символ Шаг (N,X) обозначает выполнение основного шага криптопреобразования для блока N с использованием ключевого элемента X. Между циклами шифрования и вычисления имитовставки есть еще одно отличие, не упомянутое выше: в конце базовых циклов шифрования старшая и младшая часть блока результата меняются местами, это необходимо для их взаимной обратимости.

2.5 Основные режимы шифрования

ГОСТ 28147-89 предусматривает три следующих режима шифрования данных:

простая замена,

гаммирование,

гаммирование с обратной связью,

и один дополнительный режим выработки имитовставки.

В любом из этих режимов данные обрабатываются блоками по 64 бита, на которые разбивается массив, подвергаемый криптографическому преобразованию, именно поэтому ГОСТ относится к блочным шифрам. Однако в двух режимах гаммирования есть возможность обработки неполного блока данных размером меньше 8 байт, что существенно при шифровании массивов данных с произвольным размером, который может быть не кратным 8 байтам.

Прежде чем перейти к рассмотрению конкретных алгоритмов криптографических преобразований, необходимо пояснить обозначения, используемые на схемах в следующих разделах:

Tо,Tш - массивы соответственно открытых и зашифрованных данных;

Tiо, Tiш - i-тые по порядку 64-битовые блоки соответственно открытых и зашифрованных данных: , последний блок может быть неполным: при 1<i<п,;

п - число 64-битовых блоков в массиве данных;

ЦX - функция преобразования 64-битового блока данных по алгоритму базового цикла "X".

2.5.1 Простая замена

Зашифрование в данном режиме заключается в применении цикла 32-З к блокам открытых данных, расшифрование - цикла 32-Р к блокам зашифрованных данных. Это наиболее простой из режимов, 64-битовые блоки данных обрабатываются в нем независимо друг от друга. Схемы алгоритмов зашифрования и расшифрования в режиме простой замены приведены на рисунках 3а и б соответственно, они тривиальны и не нуждаются в комментариях.

Размер массива открытых или зашифрованных данных, подвергающийся соответственно зашифрованию или расшифрованию, должен быть кратен 64 битам: |Tо| = |Tш| = 64·n, после выполнения операции размер полученного массива данных не изменяется. Режим шифрования простой заменой имеет следующие особенности:

1. Так как блоки данных шифруются независимо друг от друга и от их позиции в массиве, при зашифровании двух одинаковых блоков открытого текста получаются одинаковые блоки шифртекста и наоборот. Отмеченное свойство позволит криптоаналитику сделать заключение о тождественности блоков исходных данных, если в массиве зашифрованных данных ему встретились идентичные блоки, что является недопустимым для серьезного шифра.

2. Если длина шифруемого массива данных не кратна 8 байтам или 64 битам, возникает проблема, чем и как дополнять последний неполный блок данных массива до полных 64 бит. Эта задача не так проста, как кажется на первый взгляд. Очевидные решения типа "дополнить неполный блок нулевыми битами" или, более обще, "дополнить неполный блок фиксированной комбинацией нулевых и единичных битов" могут при определенных условиях дать в руки криптоаналитика возможность методами перебора определить содержимое этого самого неполного блока, и этот факт означает снижение стойкости шифра. Кроме того, длина шифртекста при этом изменится, увеличившись до ближайшего целого, кратного 64 битам, что часто бывает нежелательным.

На первый взгляд, перечисленные выше особенности делают практически невозможным использование режима простой замены, ведь он может применяться только для шифрования массивов данных с размером кратным 64 битам, не содержащим повторяющихся 64-битовых блоков. Кажется, что для любых реальных данных гарантировать выполнение указанных условий невозможно. Это почти так, но есть одно очень важное исключение: вспомните, что размер ключа составляет 32 байта, а размер таблицы замен - 64 байта. Кроме того, наличие повторяющихся 8-байтовых блоков в ключе или таблице замен будет говорить об их весьма плохом качестве, поэтому в реальных ключевых элементах такого повторения быть не может. Таким образом, мы выяснили, что режим простой замены вполне подходит для шифрования ключевой информации, тем более, что прочие режимы для этой цели менее удобны, поскольку требуют наличия дополнительного синхронизирующего элемента данных - синхропосылки (см. следующий раздел). Наша догадка верна, ГОСТ предписывает использовать режим простой замены исключительно для шифрования ключевых данных.

2.5.2 Гаммирование

Как же можно избавиться от недостатков режима простой замены? Для этого необходимо сделать возможным шифрование блоков с размером менее 64 бит и обеспечить зависимость блока шифр текста от его номера, иными словами, рандомизировать процесс шифрования. В ГОСТе это достигается двумя различными способами в двух режимах шифрования, предусматривающих гаммирование. Гаммирование - это наложение (снятие) на открытые (зашифрованные) данные криптографической гаммы, то есть последовательности элементов данных, вырабатываемых с помощью некоторого криптографического алгоритма, для получения зашифрованных (открытых) данных. Для наложения гаммы при зашифровании и ее снятия при расшифровании должны использоваться взаимно обратные бинарные операции, например, сложение и вычитание по модулю 264 для 64-битовых блоков данных. В ГОСТе для этой цели используется операция побитного сложения по модулю 2, поскольку она является обратной самой себе и, к тому же, наиболее просто реализуется аппаратно.

Гаммирование решает обе упомянутые проблемы; во первых, все элементы гаммы различны для реальных шифруемых массивов и, следовательно, результат зашифрования даже двух одинаковых блоков в одном массиве данных будет различным. Во вторых, хотя элементы гаммы и вырабатываются одинаковыми порциями в 64 бита, использоваться может и часть такого блока с размером, равным размеру шифруемого блока.

Теперь перейдем непосредственно к описанию режима гаммирования. Гамма для этого режима получается следующим образом: с помощью некоторого алгоритмического рекуррентного генератора последовательности чисел (РГПЧ) вырабатываются 64-битовые блоки данных, которые далее подвергаются преобразованию по циклу 32-З, то есть зашифрованию в режиме простой замены, в результате получаются блоки гаммы. Благодаря тому, что наложение и снятие гаммы осуществляется при помощи одной и той же операции побитового исключающего или, алгоритмы зашифрования и расшифрования в режиме гаммирования идентичны, их общая схема приведена на рисунке 5.

РГПЧ, используемый для выработки гаммы, является рекуррентной функцией: , где - элементы рекуррентной последовательности, f - функция преобразования. Следовательно, неизбежно возникает вопрос о его инициализации, то есть об элементе . В действительности, этот элемент данных является параметром алгоритма для режимов гаммирования, на схемах он обозначен как S, и называется в криптографии синхропосылкой, а в нашем ГОСТе - начальным заполнением одного из регистров шифрователя. По определенным соображениям разработчики ГОСТа решили использовать для инициализации РГПЧ не непосредственно синхропосылку, а результат ее преобразования по циклу 32-З: . Последовательность элементов, вырабатываемых РГПЧ, целиком зависит от его начального заполнения, то есть элементы этой последовательности являются функцией своего номера и начального заполнения РГПЧ: , где. С учетом преобразования по алгоритму простой замены добавляется еще и зависимость от ключа:

,

где - i-тый элемент гаммы, K-ключ.

Таким образом, последовательность элементов гаммы для использования в режиме гаммирования однозначно определяется ключевыми данными и синхропосылкой. Естественно, для обратимости процедуры шифрования в процессах за - и расшифрования должна использоваться одна и та же синхропосылка. Из требования уникальности гаммы, невыполнение которого приводит к катастрофическому снижению стойкости шифра, следует, что для шифрования двух различных массивов данных на одном ключе необходимо обеспечить использование различных синхропосылок. Это приводит к необходимости хранить или передавать синхропосылку по каналам связи вместе с зашифрованными данными, хотя в отдельных особых случаях она может быть предопределена или вычисляться особым образом, если исключается шифрование двух массивов на одном ключе.

Теперь подробно рассмотрим РГПЧ, используемый в ГОСТе для генерации элементов гаммы. Прежде всего, надо отметить, что к нему не предъявляются требования обеспечения каких-либо статистических характеристик вырабатываемой последовательности чисел. РГПЧ спроектирован разработчиками ГОСТа исходя из необходимости выполнения следующих условий:

период повторения последовательности чисел, вырабатываемой РГПЧ, не должен сильно (в процентном отношении) отличаться от максимально возможного при заданном размере блока значения 264;

соседние значения, вырабатываемые РГПЧ, должны отличаться друг от друга в каждом байте, иначе задача криптоаналитика будет упрощена;

РГПЧ должен быть достаточно просто реализуем как аппаратно, так и программно на наиболее распространенных типах процессоров, большинство из которых, как известно, имеют разрядность 32 бита.

Исходя из перечисленных принципов создатели ГОСТа спроектировали весьма удачный РГПЧ, имеющий следующие характеристики:

в 64-битовом блоке старшая и младшая части обрабатываются независимо друг от друга: ; фактически, существуют два независимых РГПЧ для старшей и младшей частей блока.

рекуррентные соотношения для старшей и младшей частей следующие:

,

где =101010116;

,

где С2=101010416;

Нижний индекс в записи числа означает его систему счисления, таким образом, константы, используемые на данном шаге, записаны в 16-ричной системе счисления. Второе выражение нуждается в комментариях, так как в тексте ГОСТа приведено нечто другое , с тем же значением константы . Но далее в тексте стандарта дается комментарий, что, оказывается, под операцией взятия остатка по модулю 232-1 там понимается не то же самое, что и в математике. Отличие заключается в том, что согласно ГОСТу (232-1) mod (232-1) = (232-1), а не 0. На самом деле, это упрощает реализацию формулы, а математически корректное выражение для нее приведено выше.

период повторения последовательности для младшей части составляет 232, для старшей части 232--1, для всей последовательности период составляет 232 (232-1), доказательство этого факта, весьма несложное, получите сами. Первая формула из двух реализуется за одну команду, вторая, несмотря на ее кажущуюся громоздкость, за две команды на всех современных 32-разрядных процессорах.

Схема алгоритма шифрования в режиме гаммирования приведена на рисунке 4, ниже изложены пояснения к схеме:

Шаг 0. Определяет исходные данные для основного шага криптопреобразования:

- массив открытых (зашифрованных) данных произвольного размера, подвергаемый процедуре зашифрования (расшифрования), по ходу процедуры массив подвергается преобразованию порциями по 64 бита;

S - синхропосылка, 64-битовый элемент данных, необходимый для инициализации генератора гаммы;

Шаг 1. Начальное преобразование синхропосылки,

выполняемое для ее "рандомизации", то есть для устранения статистических закономерностей, присутствующих в ней, результат используется как начальное заполнение РГПЧ;

Шаг 2. Один шаг работы РГПЧ, реализующий его рекуррентный алгоритм. В ходе данного шага старшая (S1) и младшая (S0) части последовательности данных вырабатываются независимо друг от друга;

Шаг 3. Гаммирование. Очередной 64-битовый элемент, выработанный РГПЧ, подвергается процедуре зашифрования по циклу 32-З, результат используется как элемент гаммы для зашифрования (расшифрования) очередного блока открытых (зашифрованных) данных того же размера.

Шаг 4. Результат работы алгоритма - зашифрованный (расшифрованный) массив данных.

Ниже перечислены особенности гаммирования как режима шифрования.

1. Одинаковые блоки в открытом массиве данных дадут при зашифровании различные блоки шифр текста, что позволит скрыть факт их идентичности.

2. Поскольку наложение гаммы выполняется побитно, шифрование неполного блока данных легко выполнимо как шифрование битов этого неполного блока, для чего используется соответствующие биты блока гаммы. Так, для зашифрования неполного блока в 1 бит можно использовать любой бит из блока гаммы.

3. Синхропосылка, использованная при зашифровании, каким-то образом должна быть передана для использования при расшифровании. Это может быть достигнуто следующими путями:

хранить или передавать синхропосылку вместе с зашифрованным массивом данных, что приведет к увеличению размера массива данных при зашифровании на размер синхропосылки, то есть на 8 байт;

использовать предопределенное значение синхропосылки или вырабатывать ее синхронно источником и приемником по определенному закону, в этом случае изменение размера передаваемого или хранимого массива данных отсутствует;

Оба способа дополняют друг друга, и в тех редких случаях, где не работает первый, наиболее употребительный из них, может быть использован второй, более экзотический. Второй способ имеет гораздо меньшее применение, поскольку сделать синхропосылку предопределенной можно только в том случае, если на данном комплекте ключевой информации шифруется заведомо не более одного массива данных, что бывает в редких случаях. Генерировать синхропосылку синхронно у источника и получателя массива данных также не всегда представляется возможным, поскольку требует жесткой привязки к чему-либо в системе. Так, здравая на первый взгляд идея использовать в качестве синхропосылки в системе передачи зашифрованных сообщений номер передаваемого сообщения не подходит, поскольку сообщение может потеряться и не дойти до адресата, в этом случае произойдет десинхронизация систем шифрования источника и приемника. Поэтому в рассмотренном случае нет альтернативы передаче синхропосылки вместе с зашифрованным сообщением.

С другой стороны, можно привести и обратный пример. Допустим, шифрование данных используется для защиты информации на диске, и реализовано оно на низком уровне, для обеспечения независимого доступа данные шифруются по секторам. В этом случае невозможно хранить синхропосылку вместе с зашифрованными данными, поскольку размер сектора нельзя изменить, однако ее можно вычислять как некоторую функцию от номера считывающей головки диска, номера дорожки (цилиндра) и номера сектора на дорожке. В этом случае синхропосылка привязывается к положению сектора на диске, которое вряд ли может измениться без переформатирования диска, то есть без уничтожения данных на нем.

Режим гаммирования имеет еще одну интересную особенность. В этом режиме биты массива данных шифруются независимо друг от друга. Таким образом, каждый бит шифртекста зависит от соответствующего бита открытого текста и, естественно, порядкового номера бита в массиве . Из этого вытекает, что изменение бита шифр текста на противоположное значение приведет к аналогичному изменению бита открытого текста на противоположный:

,

где обозначает инвертированное по отношению к t значение бита (= 1, =0).

Данное свойство дает злоумышленнику возможность воздействуя на биты шифр-текста вносить предсказуемые и даже целенаправленные изменения в соответствующий открытый текст, получаемый после его расшифрования, не обладая при этом секретным ключом. Это иллюстрирует хорошо известный в криптологии факт, что секретность и аутентичность суть различные свойства криптографических систем. Иными словами, свойства криптосистемы обеспечивать защиту от несанкционированного ознакомления с содержимым сообщения и от несанкционированного внесения изменений в сообщение являются независимыми и лишь в отдельных случаях могут пересекаться. Сказанное означает, что существуют криптографические алгоритмы, обеспечивающие определенную секретность зашифрованных данных и при этом никак не защищающие от внесения изменений и наоборот, обеспечивающие аутентичность данных и никак не ограничивающие возможность ознакомления с ними. По этой причине рассматриваемое свойство режима гаммирования не должно рассматриваться как его недостаток.

2.5.3 Гаммирование с обратной связью

Данный режим очень похож на режим гаммирования и отличается от него только способом выработки элементов гаммы - очередной элемент гаммы вырабатывается как результат преобразования по циклу 32-З предыдущего блока зашифрованных данных, а для зашифрования первого блока массива данных элемент гаммы вырабатывается как результат преобразования по тому же циклу синхропосылки. Этим достигается зацепление блоков - каждый блок шифртекста в этом режиме зависит от соответствующего и всех предыдущих блоков открытого текста. Поэтому данный режим иногда называется гаммированием с зацеплением блоков. На стойкость шифра факт зацепления блоков не оказывает никакого влияния.

Схема алгоритмов за - и расшифрования в режиме гаммирования с обратной связью приведена на рисунке 5 и ввиду своей простоты в комментариях не нуждается.

Шифрование в режиме гаммирования с обратной связью обладает теми же особенностями, что и шифрование в режиме обычного гаммирования, за исключением влияния искажений шифртекста на соответствующий открытый текст. Для сравнения запишем функции расшифрования блока для обоих упомянутых режимов:

- гаммирование;

- гаммирование с обратной связью;

Если в режиме обычного гаммирования изменения в определенных битах шифртекста влияют только на соответствующие биты открытого текста, то в режиме гаммирования с обратной связью картина несколько сложнее. Как видно из соответствующего уравнения, при расшифровании блока данных в режиме гаммирования с обратной связью, блок открытых данных зависит от соответствующего и предыдущего блоков зашифрованных данных. Поэтому, если внести искажения в зашифрованный блок, то после расшифрования искаженными окажутся два блока открытых данных - соответствующий и следующий за ним, причем искажения в первом случае будут носить тот же характер, что и в режиме гаммирования, а во втором случае - как в режиме простой замены. Другими словами, в соответствующем блоке открытых данных искаженными окажутся те же самые биты, что и в блоке шифрованных данных, а в следующем блоке открытых данных все биты независимо друг от друга с вероятностью 1/2 изменят свои значения.

2.5.4 Выработка имитовставки к массиву данных

В предыдущих разделах мы обсудили влияние искажения шифрованных данных на соответствующие открытые данные. Мы установили, что при расшифровании в режиме простой замены соответствующий блок открытых данных оказывается искаженным непредсказуемым образом, а при расшифровании блока в режиме гаммирования изменения предсказуемы. В режиме гаммирования с обратной связью искаженными оказываются два блока, один предсказуемым, а другой непредсказуемым образом. Значит ли это, что с точки зрения защиты от навязывания ложных данных режим гаммирования является плохим, а режимы простой замены и гаммирования с обратной связью хорошими? - Ни в коем случае. При анализе данной ситуации необходимо учесть то, что непредсказуемые изменения в расшифрованном блоке данных могут быть обнаружены только в случае избыточности этих самых данных, причем чем больше степень избыточности, тем вероятнее обнаружение искажения. Очень большая избыточность имеет место, например, для текстов на естественных и искусственных языках, в этом случае факт искажения обнаруживается практически неизбежно. Однако в других случаях, например, при искажении сжатых звуковых образов, мы получим просто другой образ, который сможет воспринять наше ухо. Искажение в этом случае останется необнаруженным, если, конечно, нет априорной информации о характере звука. Вывод здесь такой: поскольку способность некоторых режимов шифрования обнаруживать искажения, внесенные в шифрованные данные, существенным образом опирается на наличие и степень избыточности шифруемых данных, эта способность не является имманентным свойством соответствующих режимов и не может рассматриваться как их достоинство.

Для решения задачи обнаружения искажений в зашифрованном массиве данных с заданной вероятностью в ГОСТе предусмотрен дополнительный режим криптографического преобразования - выработка имитовставки. Имитовставка - это контрольная комбинация, зависящая от открытых данных и секретной ключевой информации. Целью использования имитовставки является обнаружение всех случайных или преднамеренных изменений в массиве информации. Проблема, изложенная в предыдущем пункте, может быть успешно решена с помощью добавления к шифрованным данным имитовставки. Для потенциального злоумышленника две следующие задачи практически неразрешимы, если он не владеет ключевой информацией:

вычисление имитовставки для заданного открытого массива информации;

подбор открытых данных под заданную имитовставку;

Схема алгоритма выработки имитовставки приведена на рисунке 6. В качестве имитовставки берется часть блока, полученного на выходе, обычно - 32 его младших бита. При выборе размера имитовставки надо принимать во внимание, что вероятность успешного навязывания ложных данных равна величине 2-|I| на одну попытку подбора, если в распоряжении злоумышленника нет более эффективного метода подбора, чем простое угадывание. При использовании имитовставки размером 32 бита эта вероятность равна 2-32 0.23·10-9.

Заключение

В данной курсовой работе был спроектирован контроллер, реализующий алгоритм шифрования ГОСТ 28147-89 на языке VHDL. Для шифрования и дешифрования данных используется режим простой замены. Приемущество данного режима заключается в простоте реализации, а недостатком является невозможность шифрования блоков данных, размер которых не кратен 64 бит. В данной работе неполный блок заполняется нулями, но это в свою очередь приводит к снижению криптостойкости шифра.

К достоинствам ГОСТа следует отнести бесперспективность силовой атаки и эффективность реализации и соответственно высокое быстродействие на современных компьютерах.

Основные проблемы ГОСТа связаны с неполнотой стандарта в части генерации ключей и S-блоков.

Список использованых источников

1. Панасенко С. Алгоритм шифрования DES и его варианты. // Connect! Мир связи. - 2006 - №№ 3-6.

2. Панасенко С. Интересные алгоритмы шифрования, часть 2. // BYTE/Россия. - 2006 - № 5 - с.74-79.

3. Панасенко С.П., Батура В.П. Основы криптографии для экономистов: учебное пособие. Под ред.Л.Г. Гагариной. - М.: Финансы и статистика, 2005 - 176 с.

4. Соколов А.В., Шаньгин В.Ф. Защита информации в распределенных корпоративных сетях и системах. - М.: ДМК Пресс, 2002 - 656 с.

5. Шнайер Б. Прикладная криптография. Протоколы, алгоритмы, исходные тексты на языке Си. - Пер. с англ.: М.: Издательство ТРИУМФ, 2002 - 816 с.

Приложение А

ЛИСТИНГ ПРОГРАММЫ, РЕАЛИЗУЮЩЕЙ АЛГОРИТМ ГОСТ 28147-89

---------------------------------------------------------------------------------

Company:

Engineer:

-

Create Date: 20: 16: 26 01/21/2008

Design Name:

Module Name: programm - Behavioral

Project Name:

Target Devices:

Tool versions:

Description:

-

Dependencies:

-

Revision:

Revision 0.01 - File Created

Additional Comments:

-

---------------------------------------------------------------------------------

library IEEE;

use IEEE. STD_LOGIC_1164. ALL;

use IEEE. STD_LOGIC_ARITH. ALL;

use IEEE. STD_LOGIC_UNSIGNED. ALL;

- Uncomment the following library declaration if instantiating

- any Xilinx primitives in this code.

-library UNISIM;

-use UNISIM. VComponents. all;

entity programm is

Port (input: in STD_LOGIC_VECTOR (7 downto 0);

CLK: in STD_LOGIC;

end_strm: in STD_LOGIC;

output: out STD_LOGIC_VECTOR (7 downto 0);

rdi: out STD_LOGIC;

stop: out STD_LOGIC);

end programm;

architecture Behavioral of programm is

--------------------------------------------------------------------------------------------

procedure main_step (variable N_in: in std_logic_vector (63 downto 0);

variable X: in integer;

variable N_out: out std_logic_vector (63 downto 0)) is

variable Sint: integer;

variable N1: integer;

variable S: std_logic_vector (31 downto 0);

variable Sbuf: std_logic_vector (10 downto 0);

variable ram_tbl: tbl_chng: = (0, 11, 10, 6, 5, 2, 14, 3, 1, 1, 10, 12,10, 5, 5, 1, 9, 13, 0, 5, 3, 6, 15, 14, 6,7, 2, 14, 15, 13, 1, 9, 11, 12, 15, 1, 4,2, 8, 4, 15, 7, 15, 2, 9, 14, 14, 11, 6,4, 11, 5, 3, 7, 10, 1, 14, 7, 8, 2, 9, 13,15, 0, 14, 15, 1, 10, 12, 6, 13, 7, 1, 0,5, 2, 12, 6, 6, 6, 10, 2, 15, 7, 10, 13,13, 15, 5, 0, 12, 8, 1, 12, 2, 6, 1, 5, 5,10, 4, 13, 6, 11, 4, 2, 14, 14, 2, 8, 0, 6,1, 10, 4, 13, 3, 12, 7, 12, 12, 5, 12, 4, 0,4, 0, 3, 0);

variable index: integer range 0 to 15;

begin

-1

N1: = conv_integer (N_in (31 downto 0));

Sint: = N1 + X;

-2

S: = std_logic_vector (conv_unsigned (Sint, 32));

index: = conv_integer (S (3 downto 0));

S (3 downto 0): = ram_tbl (index);

index: = conv_integer (S (7 downto 4));

index: = index + 16;

S (3 downto 0): = ram_tbl (index);

index: = conv_integer (S (11 downto 8));

index: = index + 32;

S (3 downto 0): = ram_tbl (index);

index: = conv_integer (S (15 downto 12));

index: = index + 48;

S (3 downto 0): = ram_tbl (index);

index: = conv_integer (S (19 downto 16));

index: = index + 64;

S (3 downto 0): = ram_tbl (index);

index: = conv_integer (S (23 downto 20));

index: = index + 80;

S (3 downto 0): = ram_tbl (index);

index: = conv_integer (S (27 downto 24));

index: = index + 96;

S (3 downto 0): = ram_tbl (index);

index: = conv_integer (S (31 downto 28));

index: = index + 112;

S (3 downto 0): = ram_tbl (index);

-3

Sbuf: = S (31 downto 21);

S (31 downto 10): = S (21 downto 0);

S (10 downto 0): = Sbuf;

-4

S: = S xor std_logic_vector (conv_unsigned (Sint, 32));

-5

N_out: = N_in (31 downto 0) & S;

end procedure main_step;

-----------------------------------------------------------------------

begin

process (CLK)

variable counter: integer range 0 to 8: = 0;

variable counter2: integer range 0 to 8: = 0;

variable stop_f: std_logic: = '0';

variable stop_f2: std_logic: = '0';

variable addr_out: integer range 0 to 7: = 0;

variable in_buf1: std_logic_vector (63 downto 0)

: = "0000000000000000000000000000000000000000000000000000000000000000";

variable in_buf2: std_logic_vector (63 downto 0)

: = "0000000000000000000000000000000000000000000000000000000000000000";

variable K0: integer: = 738231976;

variable K1: integer: = 501513107;

variable K2: integer: = 942237734;

variable K3: integer: = 57870747;

variable K4: integer: = 774903533;

variable K5: integer: = 172199066;

variable K6: integer: = 596921379;

variable K7: integer: = 524843400;

begin

if (CLK = '1') then

if (end_strm = '0') then

stop_f: = '0';

stop_f2: = '0';

stop <= '0';

counter: = counter + 1;

else

counter: = 8;

stop_f: = '1';

end if;

if (stop_f2 = '0') then

if (counter = 1) then

in_buf1 (7 downto 0): = input;

else

if (counter = 2) then

in_buf1 (15 downto 8): = input;

else

if (counter = 3) then

in_buf1 (23 downto 16): = input;

else

if (counter = 4) then

in_buf1 (31 downto 24): = input;

else

if (counter = 5) then

in_buf1 (39 downto 32): = input;

else

if (counter = 6) then

in_buf1 (47 downto 40): = input;

else

if (counter = 7) then

in_buf1 (55 downto 48): = input;

else

if (counter = 8) then

in_buf1 (63 downto 56): = input;

main_step (in_buf1, K0, in_buf2);

main_step (in_buf2, K1, in_buf1);

main_step (in_buf1, K2, in_buf2);

main_step (in_buf2, K3, in_buf1);

main_step (in_buf1, K4, in_buf2);

main_step (in_buf2, K5, in_buf1);

main_step (in_buf1, K6, in_buf2);

main_step (in_buf2, K7, in_buf1);

main_step (in_buf1, K0, in_buf2);

main_step (in_buf2, K1, in_buf1);

main_step (in_buf1, K2, in_buf2);

main_step (in_buf2, K3, in_buf1);

main_step (in_buf1, K4, in_buf2);

main_step (in_buf2, K5, in_buf1);

main_step (in_buf1, K6, in_buf2);

main_step (in_buf2, K7, in_buf1);

main_step (in_buf1, K0, in_buf2);

main_step (in_buf2, K1, in_buf1);

main_step (in_buf1, K2, in_buf2);

main_step (in_buf2, K3, in_buf1);

main_step (in_buf1, K4, in_buf2);

main_step (in_buf2, K5, in_buf1);

main_step (in_buf1, K6, in_buf2);

main_step (in_buf2, K7, in_buf1);

main_step (in_buf1, K7, in_buf2);

main_step (in_buf2, K6, in_buf1);

main_step (in_buf1, K5, in_buf2);

main_step (in_buf2, K4, in_buf1);

main_step (in_buf1, K3, in_buf2);

main_step (in_buf2, K2, in_buf1);

main_step (in_buf1, K1, in_buf2);

main_step (in_buf2, K0, in_buf1);

in_buf2: = in_buf1;

output <= in_buf2 (7 downto 0);

rdi <= '1';

counter2: = 0;

counter: = 0;

in_buf1: = "0000000000000000000000000000000000000000000000000000000000000000";

end if;

end if;

end if;

end if;

end if;

end if;

end if;

end if;

end if;

if (counter2 <= 9) then

counter2: = counter2 + 1;

else

rdi <= '0';

stop <= '1';

if (stop_f = '1') then

stop_f2: = '1';

end if;

end if;

if (counter2 = 2) then

output <= in_buf2 (15 downto 8);

rdi <= '1';

else

if (counter2 = 3) then

output <= in_buf2 (23 downto 16);

rdi <= '1';

else

if (counter2 = 4) then

output <= in_buf2 (31 downto 24);

rdi <= '1';

else

if (counter2 = 5) then

output <= in_buf2 (39 downto 32);

rdi <= '1';

else

if (counter2 = 6) then

output <= in_buf2 (47 downto 40);

rdi <= '1';

else

if (counter2 = 7) then

output <= in_buf2 (55 downto 48);

rdi <= '1';

else

if (counter2 = 8) then

output <= in_buf2 (63 downto 56);

rdi <= '1';

end if;

end if;

end if;

end if;

end if;

end if;

end if;

end if;

end process;

end Behavioral;

Размещено на Allbest.ru


Подобные документы

  • Функциональное и эксплуатационное назначение данного изделия. Требования к составу и параметрам технических средств. Описание алгоритма ГОСТ 28147-89 в режиме гаммирования. Технико-экономические показатели разработки. Интерфейс программного продукта.

    курсовая работа [1,7 M], добавлен 27.02.2015

  • Алгоритм ГОСТ 28147-89 симметричного шифрования на основе сети Фейстеля, основные режимы его работы. Атаки на системы защиты информации. Метод грубой силы. Атаки класса "встреча посередине". Характеристики ГОСТ 28147-89 и американского шифра Rijndael.

    курсовая работа [510,7 K], добавлен 17.01.2012

  • Аппаратный узел, выполняющий задачу преобразования цифровой информации с ее шифрованием по определенному алгоритму. Структура шифрующе-вычисляющего устройства с использованием языка высокоуровневого описания аппаратуры VHDL. Диаграмма потока данных.

    реферат [18,7 K], добавлен 24.09.2010

  • Исследование системы распределения ключей на основе линейных преобразований. Описание компонентов сети конфиденциальной связи. Характеристика отечественного алгоритма шифрования данных. Обзор результатов расчетов криптостойкости алгоритма шифрования.

    контрольная работа [56,5 K], добавлен 26.09.2012

  • Создание криптографического программного обеспечения, выполняющего шифрование по алгоритму RC6; электронную подпись на основе шифра Эль-Гамаля; задачу о нахождении гамильтонова цикла в графе. Алгоритм реализации гамильтонова цикла. Исходный код программы.

    курсовая работа [365,9 K], добавлен 24.07.2015

  • Проектирование арифметико-логических устройств (АЛУ). Отладка описания логических схем на языке VHDL. Классификация АЛУ по способу представления чисел, характеру использования элементов и узлов. Список стандартных функций АЛУ, его описание на языке VHDL.

    лабораторная работа [633,4 K], добавлен 11.03.2014

  • Создание рабочего модуля аналого-цифрового преобразователя с минимальным количеством микросхем на основе микроконтроллера ATmega8L. Описание блок-схемы АЦП. Схема запуска преобразования. Программа микроконтроллера в среде программирования CodeVision.

    курсовая работа [1,2 M], добавлен 04.10.2013

  • Шифрование как способ преобразования открытой информации в закрытую и обратно, его основные этапы и назначение, сферы практического применения и оценка преимуществ. История AES, его описание и вспомогательные процедуры, а также программная реализация.

    курсовая работа [994,9 K], добавлен 12.04.2012

  • Разработка встраиваемого в электронную аппаратуру микроконтроллера с целью обеспечения ввода данных с клавиатуры и отображения результатов обработки на индикации. Описание активного элемента (системная шина ISA). Программное обеспечение микроконтроллера.

    курсовая работа [848,9 K], добавлен 05.02.2016

  • Формирование тактовых импульсов микроконтроллера. Схемы входных и выходных устройств, источника напряжения питания. Проектирование модуля инициализации микроконтроллера, процедур обработки прерываний, процедур вывода информации и процедуры Main.

    курсовая работа [273,1 K], добавлен 19.12.2010

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.