Спецпроцессор

Разработка спецпроцессора, предназначенного для выполнения заданного набора микроопераций, проектирование его функциональной схемы. Составление принципиальной схемы отдельных узлов микропроцессора, выбор мультиплексоров. Оценка результатов моделирования.

Рубрика Программирование, компьютеры и кибернетика
Вид курсовая работа
Язык русский
Дата добавления 07.09.2009
Размер файла 270,0 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

ПЕНЗЕНСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ

Кафедра «Вычислительная техника»

ПОЯСНИТЕЛЬНАЯ ЗАПИСКА

к курсовому проекту по дисциплине

«Схемотехника ЭВМ»

Тема: «Спецпроцессор»

Пенза 2009 г.

Введение

Средства микропроцессорной техники, благодаря достигнутым успехам в развитии технологии интегральных схем, широко используются для создания различных систем и устройств автоматизации управления, контроля технологических процессов и объектов. При разработке таких систем и устройств широко используются принципы микропрограммного управления как на основе программной, аппаратной, так и на основе программно - аппаратной реализации. В этой связи большое значение приобретают различные методы построения систем микропрограммного управления, в том числе и такие, которые позволяли бы существенно повышать эффективность таких систем управления.

Целью данного курсового проекта является разработка спецпроцессора, предназначенного для выполнения заданного набора микроопераций.

Разрядность операндов - 8 двоичных разрядов со знаком.

Операционный автомат реализуется по схеме с прямыми связями.

Обмен информацией с внешней системой производится с помощью восьмиразрядной магистрали данных D с использованием команд типа IN A или OUT A.

При обмене информацией используется начальный адрес F0H.

При проектировании принципиальной схемы спецпроцессора следует ориентироваться на применение цифровых элементов серии ТТЛ.

В операционном блоке реализуются следующие микрооперации: R2:=D, RSM:=RSM+0,5R1, R2:=RSM-R2, R1:=D, R3:=D, R1:=R1+R2, RSM:=(R1&R3)+RSM+1, R1:=0,25R3, R1:=AL (R1,2); RSM:= R1, R2:=LC (R2,1), RSM:=R2, RSM:=R2+R3, D:=R2.

1. Проектирование функциональной схемы спецпроцессора

1.1 Структурная схема спецпроцессора

Спецпроцессор состоит из управляющего автомата с программируемой логикой, операционного автомата, содержащего оперативную память для хранения операндов и результата, интерфейсного блока.

Обмен данными с микропроцессорной системой спецпроцессор осуществляет через интерфейсный блок (ИБ). С магистрали адреса (МА) в ИБ поступает начальный адрес при обмене. Данные подаются и принимаются МПС с магистрали данных (MД). Управляющие сигналы приходят с магистрали управления (МУ). Интерфейсный блок подает сигнал «ПУСК» управляющему автомату (УА), по которому он начинает вырабатывать управляющие сигналы {Yi} операционному автомату (ОА). Операционный автомат выполняет соответствующие микрооперации и вырабатывает осведомительные сигналы {Xi}. По окончании работы управляющий автомат вырабатывает сигнал «СТОП». После этого микропроцессор может обратиться за результатом выполнения макрооперации.

Рисунок 1 - Структурная схема спецпроцессора

1.2 Проектирование функциональной схемы

1.2.1 Проектирование функциональной схемы операционного блока

1.2.1.1 Реализуемые микрооперации

Список микроопераций, реализуемых в спецпроцессоре, представлен в таблице 1. Каждой микрооперации соответствует управляющий сигнал, вырабатываемый управляющим автоматом.

Таблица 1. Список микроопераций

Управляющий сигнал

Микрокоманда

Y1

RSM:=RSM+0,5R1

Y2

R2:=RSM-R2

Y3

R1:=R1+R2

Y4

RSM:=(R1&R3)+RSM+1

Y5

R1:=0,25R3

Y6

R1:=AL (R1,2)

Y7

RSM:= R1

Y8

R2:=LC (R2,1)

Y9

RSM:=R2

Y10

RSM:=R2+R3

Y11

D:=R2

Алгоритм работы спецпроцессора изображен на рисунке 2. Загрузка операндов в регистры R1, R2, R3 не включена в алгоритм работы управляющего автомата. Предполагается, что внешняя микропроцессорная система сначала производит запись операндов в эти регистры, затем подает сигнал запуска, и управляющий автомат начинает свою работу.

Рисунок 2 - Алгоритм работы спецпроцессора

1.2.1.2 Функциональный состав

Функциональный состав операционного блока с учетом реализуемых микроопераций, следующий:

· Регистры R1, R2, R3, RSM;

· Мультиплексоры MS1 - MS4;

· Сумматор SM1 - SM2;

· Схемы И и ИЛИ (для микроопераций у3 и y4).

1.2.1.3 Описание функционирования операционного блока по функциональной схеме

Загрузка операндов

При активном сигнале IOW (разрешение записи) от процессора, а также разрешающем сигнале от селектора адреса, который принимает адрес устройства, мультиплексор MS1 коммутирует на вход R1 шину данных. Значение с шины данных записывается в R1.

Загрузка операндов в R2, R3 происходит аналогично. Отличие состоит в адресе, выставляемом на шину адреса.

Выполнение команды RSM:= RSM+0,5R1

При поступлении сигнала y1 мультиплексор MS3 коммутирует на вход сумматора содержимое регистра R1 со сдвигом на 1 разряд вправо. Мультиплексор MS4 коммутирует регистр сумматора на второй вход сумматора. Сумматор производит сложение по сигналу y1, и выход сумматора коммутируется на вход RSM через MS5. Таким образом, результат сложения будет записан в регистр RSM.

Выполнение команды R2:=RSM-R2

При поступлении сигнала y2 выход RSM коммутируется на вход сумматора SM2, инверсное значение содержимого регистра R2 с NOT на второй вход сумматора SM2. На вход переноса сумматора подается 1. Результат сложения записывается в регистр R2.

Выполнение команды R1:=R1+R2

При активном сигнале y3 на входы SM1 коммутируются выходы R1 и R2. Результат через мультиплексор MS1 записывается на R1.

Выполнение команды RSM:=(R1&R3)+RSM+1

При поступлении сигнала y4 на входы AND подается содержимое регистра R1 и R3. Результат с выхода OR коммутируется на первый вход сумматора SM3. На второй вход SM3 через MS4 поступает содержимое RSM. Результат с сумматора через мультиплексор MS5 коммутируется на вход регистра RSM. На вход переноса SM3 подается уровень логической 1.

Выполнение команды R1:=0,25R3

Микрокоманда выполняется по сигналу y5. На входы R1 содержимое R2 коммутируется через мультиплексор MS1 со сдвигом на 2 разряда вправо.

Выполнение команды R1:=AL (R1,2)

По сигналу y6 выходы регистра R1 коммутируются на входы R1 через MS1 со сдвигом на 2 разряда влево.

Выполнение команды RSM:=R1

При активном сигнале y7 происходит запись содержимого регистра R1 через мультиплексор MS5 на регистр RSM.

Выполнение команды R2:=LС (R2, 1)

По сигналу y8 происходит логический сдвиг влево регистра R2.

Выполнение команды RSM:=R2

По сигналу y9 содержимое регистра R2 пропускается на вход регистра RSM через мультиплексор MS5.

Выполнение команды RSM:=R2+R3

При активном сигнале y10 выход регистра R2 через мультиплексор MS3 коммутируется на первый вход сумматора, на второй вход через MS4 коммутируется выход регистра R3. Затем выход сумматора коммутируется на вход регистра RSM через мультиплексор MS5.

Выполнение команды D:=R2

По сигналу y11 происходит передача содержимого регистра RSM через МА1 на шину данных внешнего устройства.

1.2.2 Формулирование требований к управляющему автомату

Управляющий автомат должен быть построен как детерминированный автомат с программируемой логикой. Способ адресации - естественная. УА должен быть синхронным, т.е. предполагается наличие источника внешней синхронизации, который определяет действенность управляющих сигналов, а период синхросигнала определяется исходя из времени выполнения самой длинной микрооперации.

На рисунке 3 приведена возможная схема запуска, состоящая из триггера и логического элемента типа 2И. Пуск управляющего автомата осуществляется подачей сигнала записи IOW с внешней микропроцессорной системы при условии, что на магистрали адреса выставлен адрес триггера (A0+4), входящего в схему запуска. Таким образом, осуществляется установка триггера в единицу.

Установкой триггера обеспечивается прохождение синхросигнала с генератора тактовой частоты. Синхросигнал подается на управляющий автомат, а точнее на регистр слова (РСЛ), в который производится выборка команды из ПЗУ. Остановка управляющего автомата происходит по завершению выполнения последней микрокоманды. Сигнал, соответствующий последней микрокоманде, y11 сбрасывает триггер в ноль и запрещает прохождение синхросигнала.

Рисунок 3 - Схема запуска УА

1.2.3 Проектирование интерфейсного блока

Частью интерфейсного блока является селектор адреса.

Селектор адреса предназначен для выборки узла, который будет задействован при проведении операций записи или чтения в регистры спецпроцессора. Обмен информацией - программный, начальный адрес при обмене F0h (11110000b).

При обращении к внешнему устройству с целью записи или чтения процессор К580 использует команду OUT Bi или IN Bi, выставляя при этом на шину адреса адрес устройства. Будем рассматривать первые 13 разрядов как адрес спецпроцессора, а 3 младших разряда как адрес узла в спецпроцессоре. При этом А15=А14=A13=A12=1, A11-A8=0 разрешают работу селектора адреса. Схема разрешения работы селектора адреса должна быть реализована в виде комбинационной схемы, которая формирует на своем выходе сигнал логической единицы (поступающий на вход разрешения работы), только при вышеперечисленных условиях. Три младших разряда адреса поступают на входы выбора селектора адреса, который формирует на соответствующем выходе активную единицу, активизируя тем самым соответствующий узел.

В таблице 2 показано, как распределено адресное пространство. Также возможно выделение адреса триггеру, входящему в схему запуска.

Таблица 2. Распределение адресного пространства

A2

A1

A0

Узел спецпроцессора

0

0

0

RST

0

0

1

R1

0

1

0

R2

0

1

1

R3

1

0

0

MA1

1

0

1

MA2

В интерфейсном блоке предусмотрена схема формирования признаков (СФП), выход которой соединен с регистром состояния (RST). Регистр состояния служит для хранения признаков результата (S, Z, C), признака переполнения (O) и признака готовности спецпроцессора для выдачи результата (R).

В состав интерфейсного блока входят 2 магистральных усилителя для выдачи информации на шину данных. Магистральный усилитель MA1 нужен для выдачи результата из регистра R2, а МА2 для выдачи значения из регистра состояния.

2. Проектирование принципиальной схемы спецпроцессора

2.1 Уточнение задания на проектирование

Принципиальная схема разрабатывалась для операционного блока, включая такие функциональные узлы, как MS1-MS5, R1, R2, R3, RSM, SM1-SM3, AND, NOT. Предполагается, что спроектированный блок будет размещен на отдельной плате. При проектировании использовались микросхемы серии К155.

В операционный блок поступают управляющие сигналы с УА, 3 сигнала записи информации с шины данных от интерфейсного блока (А1, А2, А3), а также сигнал синхронизации от генератора тактовой частоты. Связь блока с другими модулями осуществляется через разъем СНП346-40РП21-2В типа розетка.

Питание устройства обеспечивается напряжением источника постоянного тока +5В. Схема питания устройства изображена на рисунке 4. Питание подается на устройство через контакты разъема X1:

· Х1:24 - +5В;

· Х1:25 - Общий.

Рисунок 4 - Схема питания устройства

Около разъема устанавливается электролитический конденсатор С1 типа К53-56-20В-22 мкФ20% и керамический конденсатор С2 типа К10-69В-Н30-25В-3216М - 0,1 мкФ20%.

2.2 Проектирование принципиальных схем отдельных узлов

2.2.1 Синтез регистров

Регистры реализованы на микросхемах К155ТМ8. Ввиду того, разрядность операндов - 8 бит, то для каждого регистра необходимы 2 такие микросхемы. Приведем краткое описание микросхемы К155ТМ8. Условно-графическое обозначение изображено на рисунке 6.

Рисунок 5 - УГО К155ТМ8

Регистр имеет 4 информационных входа D и 4 информационных выхода, вход сброса R и вход синхронизации С. Запись информации на регистр производится по переднему фронту сигнала на входе С.

Синтез RSM

В таблице 3 представлено функционирование регистра RSM.

Таблица 3. Таблица функционирования RSM

Упр. сигнал

МКО

Разложение МКО

Y1

RSM:=RSM+0,5R1

SM:=RSM+0,5R1

RSM:=SM

Y4

RSM:=(R1&R3)+RSM+1

SM:=(R1vR3)+RSM+1

RSM:=SM

Y7

RSM:= R1

Y9

RSM:=R2

Y10

RSM:=R2+R3

SM:=R2+R3

RSM:=SM

Анализируя МКО, можно сделать вывод, что микросхема регистра должны работать в режиме параллельной записи при выполнении всех МКО.

Можно записать функцию зависимости значения на входе синхронизации С от управляющих сигналов.

C =SYNC(y1vy4vy7vy9vy10)

На информационные входы подаются сигналы с мультиплексора MS5.

Синтез R1

Таблица 4. Таблица функционирования R1

Упр. сигнал

МКО

Разложение МКО

Y3

R1:=R1+R2

SM1:= R1+R2

R1:=SM1

Y5

R1:=0,25R3

Y6

R1:=AL (R1,2)

A1

R1:=MD

Анализируя МКО, можно сделать вывод, что микросхемы регистра должны работать в режиме параллельной записи

Можно записать функцию зависимости значения на входе синхронизации С от управляющих сигналов.

C =SYNC(y3vy5vy6vA1)

На информационные входы подаются сигналы с мультиплексора MS1.

Синтез R2

Таблица 5. Таблица функционирования R2

Упр. сигнал

МКО

Разложение МКО

Y2

R2:=RSM-R2

Y8

R2:=LC (R2,1)

A2

R2:=MD

Анализируя МКО, можно сделать вывод, что микросхемы регистра должны работать в режиме параллельной записи.

Можно записать функцию зависимости значения на входе синхронизации С от управляющих сигналов, и преобразовать эту функцию к виду, подходящему для реализации на имеющихся ЛЭ.

C =SYNC (y2vy8vA2)

На информационные входы подаются сигналы с мультиплексора MS2.

Синтез R3

Таблица 6. Таблица функционирования R3

Упр. сигнал

МКО

Разложение МКО

A3

R3:=MD

Анализируя МКО, можно сделать вывод, что микросхема регистра должна работать в режиме параллельной записи при выполнении всех МКО.

Можно записать функцию зависимости значения на входе синхронизации С от управляющих сигналов.

C =SYNC&A3

2.2.2 Выбор сумматора

Поскольку среди микросхем отсутствовал 8-разрядный сумматор, то использовались 2 микросхемы К564ИМ1, являющиеся 4-х разрядными сумматорами. Причем выход переноса с первой микросхемы подается на вход переноса второй.

При выполнении микроопераций y2, y4 необходимо формировать логическую единицу на входе переноса сумматора. Функция входа переноса первой микросхемы ИМ1 SM: P0 = y2. Функция входа переноса первой микросхемы ИМ1 SM2: P0 = y4.

2.2.3 Выбор мультиплексоров

В качестве мультиплексоров MS1, MS2, MS3, MS5 использовались микросхемы К155КП2, причем для каждого мультиплексора необходимо 4 микросхемы.

В качестве мультиплексора MS4 использовались микросхемы К555КП11.

Синтез MS1

На информационные входы А0, В0 микросхем подается значение с шины данных, на входы А1, В1 - с регистра R3, на входы A2, B2 - с SM1, на входы A3, B3 - c регистра R1.

В таблице 8 представлена зависимость значений на адресных входах от управляющих сигналов.

Таблица 8. Таблица истинности

A1

Y5

Y3

Y6

S1

S0

1

0

0

0

0

0

0

1

0

0

0

1

0

0

1

0

1

0

0

0

0

1

1

1

Согласно таблице можно записать функции адресных входов:

S1 = y5vy6

S0 = y3vy6

Синтез MS2

На информационные входы А0, В0 микросхем подается значение с шины данных, на входы А1, В1 - с регистра R2, на входы A2, B2 - с SM2, на входы A3, B3 - логический 0.

В таблице 9 представлена зависимость значений на адресных входах от управляющих сигналов.

Таблица 9. Таблица истинности

A2

Y8

Y2

S1

S0

1

0

0

0

0

0

1

0

0

1

0

0

1

1

0

0

0

0

1

1

Согласно таблице можно записать функции адресных входов:

S0 = y8

S1=y2

Синтез MS3

На информационные входы А0, В0 микросхем подается значение 0,5R1, на входы А1, В1 - с AND, на входы A2, B2 - с R2, на входы A3, B3 - логический 0.

В таблице 10 представлена зависимость значений на адресных входах от управляющих сигналов.

Таблица 10. Таблица истинности

Y1

Y4

Y10

S1

S0

1

0

0

0

0

0

1

0

0

1

0

0

1

1

0

0

0

0

1

1

Согласно таблице можно записать функции адресных входов:

S0 =y4

S1 =y10

Синтез MS4

На информационные входы A0, B0 микросхем подается значение RSM, на входы A1, B1 - с R3.

Таблица 12. Таблица истинности

Y1

Y4

Y10

V

1

1

0

0

0

0

1

1

Функции адресных входов:

V = y10

Синтез MS5

На информационные входы А0, В0 микросхем подается значение SM3, на входы А1, В1 - с R1, на входы A2, B2 - с R2, на входы A3, B3 - логический 0.

В таблице 10 представлена зависимость значений на адресных входах от управляющих сигналов.

Таблица 10. Таблица истинности

Y1

Y4

Y7

Y9

Y10

S1

S0

1

1

0

0

1

0

0

0

0

1

0

0

0

1

0

0

0

1

0

1

0

0

0

0

0

0

1

1

Согласно таблице можно записать функции адресных входов:

S0 =y7

S1 =y9

2.3 Расчет быстродействия процессора

Для расчета быстродействия нужно из множества микроопераций выделить ту, выполнение которой требует максимального времени. В нашем случае такой микрооперацией является RSM:=(R1&R3)+RSM+1.

Теперь нужно сложить задержки элементов, через которые проходит сигнал при выполнении данной микрооперации:

Tmax = tЗ R1,R3 + t AND + t З MS3,4 + t З SM3 + t З MS5 + t З RSM =

= 35 + 27 + 34 + 60 + 34 +35 = 225 нс

Учитывая, что генератор должен вырабатывать сигнал типа меандр, то частота синхросигнала будет равна:

F =1/Tmax = 1/2t =1/2•225•10-9 = 2,2 МГц

2.4 Результаты моделирования

Моделирование проводилось с целью проверки правильности функционирования построенных схем отдельного узлов, а именно мультиплексора MS1 и регистра R1.

Моделирование проводилось в системе Quartus II. Среди библиотечных элементов не нашлось подходящего мультиплексора и регистра, поэтому эти элементы были описаны на языке VHDL. На рисунке 6 приведена схема, подлежащая моделированию. Схема состоит из регистра, мультиплексора и комбинационной схемы.

Рисунок 6 - Схема, подлежащая моделированию

На рисунке 7 приведены результаты моделирования в виде временных диаграмм. Моделирование проводилось на поведенческом уровне, поэтому на диаграммах видно, что в некоторые моменты времени значение на выходах неопределенно.

Рисунок 7 - Результаты моделирования

Анализ диаграмм показал, что схема работает верно.

Заключение

В данной курсовой работе был спроектирован спецпроцессор для выполнения заданного набора микрокоманд.

К пояснительной записке прилагаются функциональная и принципиальная схемы системы, перечень элементов, а также временные диаграммы.

Список используемой литературы

1) С.Т. Хвощ, Н.Н. Варлинский, Е.А. Попов. Микропроцессоры и микроэвм в системах автоматического управления. - Ленинград: Машиностроение, 1987 г.

2) Н.П. Сергеев, Н.П. Вашкевич. Основы вычислительной техники. - Москва: Высшая школа, 1988 г.

3) Шило В.Л. Популярные цифровые микросхемы: Справочник. - М.: Металлургия, 1988 г.


Подобные документы

  • Разработка условного графического обозначения элемента схемы. Разработка посадочного места, типового компонентного модуля. Формирование технического задания. Макетирование отдельных узлов и устройства. Разработка схемы электрической принципиальной.

    методичка [2,1 M], добавлен 26.01.2009

  • Выбор манипулятора-указателя, микропроцессора, интерфейса подключения к ПК. Обзор используемых команд. Проектирование функциональной и электрической принципиальной схемы контроллера трекбола. Разработка алгоритма и программы функционирования системы.

    курсовая работа [453,3 K], добавлен 22.10.2012

  • Разработка алгоритма работы. Выбор и обоснование структурной схемы. Разработка функциональной схемы блока ввода и блока вывода. Проектирование принципиальной схемы блока ввода и блока вывода, расчет элементов. Разработка программного обеспечения.

    курсовая работа [1,7 M], добавлен 25.12.2011

  • Описание алгоритма и исходного кода программы формирования графовой модели заданного фрагмента принципиальной электрической схемы. Разработка схемы алгоритмов решения задачи. Результаты решения контрольных примеров, выполненные с помощью программы.

    контрольная работа [47,8 K], добавлен 14.10.2012

  • Разработка функциональной схемы операционного автомата микросхемы специализированного процессора, выполняющего заданную арифметическую операцию. Закодированная граф-схема машинного алгоритма. Таблица входов мультиплексора выбора осведомительного сигнала.

    курсовая работа [669,9 K], добавлен 25.07.2013

  • Факторизация покрытия и выбор функциональной схемы ячейки минимальной стоимости. Построение схемы в универсальном базисе. Тип схемы элемента. Перевод в базис ИЛИ-НЕ. Определение исходных данных для расчёта принципиальной схемы логического элемента.

    курсовая работа [704,8 K], добавлен 15.06.2014

  • Проект функционального узла для выполнения микроопераций в вычислительной системе; анализ вариантов реализации. Интегральная и электрическая схемы узла; оценка переходных процессов и предельного быстродействия. Расчет и выбор генератора тактовых сигналов.

    курсовая работа [540,1 K], добавлен 21.10.2012

  • Выбор промышленного робота. Проектирование структурной, функциональной и принципиальной электрической схемы системы управления робототехническим комплексом (РТК). Расчет и выбор элементов электрической схемы. Экономический расчет от внедрения РТК.

    дипломная работа [2,2 M], добавлен 22.08.2013

  • Проектирование аппаратно-программного комплекса, предназначенного для отображения текстовой информации в виде бегущей строки (о дате, времени, температуре воздуха). Выбор микроконтроллера, элементной базы. Разработка принципиальной схемы устройства.

    дипломная работа [1,9 M], добавлен 17.08.2013

  • Алгоритм выполнения операций, необходимых для обработки информации. Расчет и составление временной диаграммы управляющих сигналов. Выбор элементной базы, необходимой для разработки принципиальной схемы. Обнаружение ошибок, допущенных при вводе информации.

    курсовая работа [1,3 M], добавлен 16.08.2012

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.