Синтез счетчиков сигналов

Назначение и классификация счетчиков сигналов, определение оптимальной структуры, построение принципиальной схемы. Карты функций переходов для триггеров. Алгоритм минимизации логических функций. Распространённые двоичные и двоично-десятичные коды чисел.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид методичка
Язык русский
Дата добавления 09.12.2013
Размер файла 2,8 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Федеральное агентство по образованию РФ

Пермский Государственный Технический Университет

Кафедра Автоматики и Телемеханики

СИНТЕЗ СЧЕТЧИКОВ СИГНАЛОВ

Методические рекомендации к самостоятельной работе студентов электротехнических специальностей

Составители: Ю.В. Панов, Т.С. Леготкина

Пермь 1990г.

1. ПОРЯДОК ВЫПОЛНЕНИЯ РАБОТ

Учебная группа распределяется на отдельные бригады по 2 человека.

1.1 Получение задания

Каждая бригада получает индивидуальное задание на синтез счетчиков параллельного и последовательного типов. Задаются тип (приложения 1,2), модуль счетчика и параметры, характеризующие быстродействие счетчика. Задание в бригаде индивидуализируется: один из студентов группы решает задачу для суммирующего счетчика, другой для вычитающего.

1.2 Самостоятельная (домашняя) работа

Студенты в соответствии с методическими рекомендациями и изложенным в них теоретическим материалом изучают принцип проектирования цифровых счетчиков, реализованных на счетных триггерах, и затем, используя словарный метод, по картам Карно реализуют структурное проектирование счетчика в соответствии с заданными параметрами.

1.3 Практическое занятие

На практическом занятии учебной группы обобщается материал по синтезу ценовых счетчиков. Студенты исправляют допущенные ошибки в проектировании счетчиков к разрабатывают окончательный вариант принципиальной схемы спроектированного счетчика.

Уточняется алгоритм синтеза проектируемого счетчика на ЭВМ.

1.4 Лабораторное занятие

Проводится в два этапа. На первом этапе каждая бригада осуществляет синтез счетчика на ЭВМ, сравнивает результаты, полученные при "ручном" проектировании, исправляет ошибки.

На втором этапе бригада на специальном универсальном стенде собирает схему спроектированного счетчика и исследует его в соответствии с методическими указаниями.

Затем бригада составляет и защищает отчет по результатам проведенной работы.

2. УЧЕБНЫЙ МАТЕРИАЛ ДЛЯ САМОСТОЯТЕЛЬНОЙ РАБОТЫ. СЧЕТЧИКИ

2.1 Назначение и классификация счетчиков

Счетчик - цифровое устройство, осуществляющее счет входных сигналов и хранение кода числа, отражающего количество подсчитанных сигналов. Сигналами могут быть перепады потенциалов или импульсы.

Счетчики реализуются на основе:

· счетных триггеров,

· регистров и кольцевых схем,

· многоустойчивых схем.

В дальнейшем будут рассматриваться только счетчики на основе счетных триггеров, как наиболее распространенные.

Основными характеристиками счетчика являются:

· модуль счета (или коэффициент пересчета ),

· быстродействие счетчика.

Модуль счета Кс - максимальное число импульсов, которое может быть подсчитано счетчиком. После поступления Кс импульсов счетчик должен вернуться в исходное состояние. Величина Кс характеризует число устойчивых состояний счетчика. Счетчик, содержащий m разрядов (триггеров), может иметь 2 устойчивых состояний, поэтому его модуль счета . Количество поступающих на счетный вход импульсов представляется на выходе счетчика в виде двоичного числа в том или ином коде.

Быстродействие счетчика характеризуется:

· разрешающей способностью

· временем установки счетчика .

Под разрешающей способностью понимают минимально допустимый интервал времени между двумя входными сигналами, при котором не происходит потери счета сигналов. Время установки кода tуст - интервал времени между моментом поступления входного сигнала и моментом завершения перехода счетчика в новое состояние.

По порядку изменения состояний различают счетчики с естественным и произвольным порядком счета. В первых значение кода каждого последующего состояния счетчика отличается на единицу от кода предыдущего. В счетчиках с произвольным порядком счета значения кодов соседних состояний могут отличаться более чем на единицу.

Счетчики с естественным порядком счета, в свою очередь, подразделяются на:

· суммирующие,

· вычитающие,

· реверсивные.

В суммирующем счетчике при каждом очередном импульсе на входе показание счетчика увеличивается на единицу, в вычитающем - уменьшается на единицу. Реверсивный счетчик может работать в режиме прямого и обратного счета.

По модулю счета (коэффициенту пересчета) различают двоичные () и недвоичные () счетчики.

Частота импульсов на выходе последнего разряда счетчика в Кс раз меньше, чем частота входных импульсов. Поэтому счетчики могут быть использованы как делители частоты с коэффициентом деления, равным .

Счетчики широко используются в устройствах управления цифровых систем, в связной и контрольно-измерительной аппаратуре, в цифровых ЭВМ и т.п.

По структурной реализации счетчики делятся на параллельные, последовательные и последовательно-параллельные, которые различаются способами подачи счетных сигналов на входы и способами связи между разрядами (триггерами). В счетчиках последовательного типа счетные сигналы подаются только на вход триггера первого разряда. Для каждого последующего из разрядов сигналы переключения поступают с выхода предыдущих разрядов. В результате происходит последовательное переключение разрядов счетчика. В счетчике параллельного типа счетные импульсы одновременно (параллельно) поступают на синхровходы триггеров во всех разрядах и осуществляют перевод счетчика в следующее состояние. Алгоритм функционирования такого счетчика обеспечивается связями между триггерами.

Подробный анализ счетчиков приводится в работе /3/.

2.2 Синтез счетчиков

Синтез счетчика сводится к определению оптимальной структуры и построению его принципиальной схемы. Под оптимальной понимается структура счетчика, содержащая минимальное количество триггеров и связей между ними, при которой обеспечивается выполнение счетчиком требуемых функций с заданными значениями параметров.

В общем случае синтез счетчика основывается на совместном решении так называемых прикладных уравнений счетчика с характеристическими уравнениями, используемых в счетчиках триггеров /1/. Более простым и наглядным является словарный метод /2,4/, при котором совместное решение этих уравнений осуществляется с помощью карт Карно, отражающих, с одной стороны, таблицу функционирования счетчика с заданными параметрами и, с другой стороны, словарь перехода выбранного типа триггера. Основы этого метода будут изложены ниже на конкретных примерах.

Основными исходными данными для синтеза счетчика являются:

1. модуль счета (емкость счетчика),

2. ;

3. порядок изменения состояний счетчика;

4. режим счета (суммирующий, вычитающий или реверсивный);

5. требуемая разрешающая способность счетчика ;

6. необходимое время установки кода счетчика .

Исходя из заданной емкости и модуля счета , определяют необходимое количество m триггеров в счетчике. Для двоичных счетчиков m=, недвоичных - m=[] , где []-двоичный логарифм числа Кс, округленный до ближайшего большего целого числа.

Разрешающую способность и время установки кода счетчика учитывают при выборе серии интегральных микросхем и типе триггера, а также при выборе способа переключения триггеров (последовательного или параллельного). При выборе серии триггера необходимо учитывать условие

,

где - максимально допустимая для данного триггера частота следования входных сигналов.

Время установки кода является основным фактором, определяющим выбор способа переключения триггеров. При последовательном способе запуска триггеров растет в m раз с увеличением числа m триггеров в счетчике, а при параллельном - не зависит от величины m. Поэтому более предпочтительным, как правило, является параллельный способ запуска триггеров. Последовательный способ целесообразно применять в счетчиках, используемых в качестве делителей частоты.

Определив количество разрядов (триггеров) счетчика m, выбрав серию интегральных микросхем и способ переключения триггеров (последовательный, параллельный), приступают к разработке структурной схемы счетчика.

На рис. 1 представлена обобщенная схема счетчика, которая состоит из последовательности триггеров и комбинационной схемы управления КСУ, на вход которой поступают управляющие сигналы Y, а также выходы с триггеров . Комбинационная схема вырабатывает сигналы управления триггерами . Триггер вместе с его управляющей частью называется разрядом счетчика. Каждый разряд последовательного узла выполняет преобразование и хранение одного разряда двоичного числа.

Состояние счетчика определяется совокупностью состояний всех триггеров, т.е. , ,..., (m -разрядное число). Переход счетчика из одного состояния в другое происходит при поступлении управляющих сигналов Y. Комбинационная схема управления при этом обеспечивает переход счетчика в каждое последующее состояние в соответствии с заданным порядком его функционирования.

Основной задачей синтеза счетчика является определение оптимальных логических функций - , связывающих между собой входы и выходы всех триггеров.

2.2.1 Алгоритм определения функций - с помощью словарного метода

1. Составление таблицы функционирования счетчика. Таблица функционирования отражает двоичные коды всех предыдущих и последующих состояний счетчика, выраженных через состояние триггеров в моменты времени до () и после прихода очередного входного сигнала () (табл. I).

Последовательность состояний счетчика может быть либо задана, либо выбрана в процессе синтеза счетчика.

2. Определение функции переходов разрядов (триггеров) счетчика для каждого из его состояний. Функция определяется с помощью полной таблицы состояний триггера и может принимать следующие значения:

= ^ - переход из состояния =0 в =1;

= Ў - переход из состояния =1 в =0;

=0 - переход из состояния =0 в =0;

=1 - переход из состояния =1 в =1.

Таблица 1

Состояние счётчика

Функция перехода

Предыдущее

Последующее

1

0

0

0

0

0

1

0

0

^

2

0

0

1

0

1

1

0

^

1

.

.

.

.

.

.

.

.

.

.

.

.

.

.

.

.

.

.

.

.

.

.

.

.

.

.

.

.

1

1

1

0

0

0

Ў

Ў

Ў

C учетом принятых обозначений составляется функция переходов для каждого триггера (см. табл. 1). В каждой строке таблицы в соответствии со значениями и определяется соответствующее значение функции .

3. Составление карты функции переходов . Карта составляется для каждого триггера. Для этого на основе табл. 1 в клеточки карты, соответствующие номерам предыдущих состояний счетчика, вписываются соответствующие значения функций перехода, выражающие переход триггера из состояния в состояние . Подробно методика составления карты будет показана ниже на конкретном примере.

4. Составление карты Карно функций управления входов для каждого триггера счетчика . Эта карта составляется на основе карты функций переходов соответствующего триггера и словаря переходов триггера. Словарь переходов отражает функционирование триггера и получается из полной таблицы состояний триггера. В словаре для каждого значения функции перехода триггера () указывается соответствующее ему значение входных переменных триггера, обеспечивающих .

В табл. 2 приведены словари переходов основных типов триггеров - RS, JK , T и D . Знак X в таблице указывает, что заданная функция перехода обеспечивается при любом значении управляющего входного сигнала: либо 0, либо 1.

Таблица 2

RS

JK

T

D

S

R

J

K

0

0

X

0

X

0

0

1

X

0

X

0

0

1

^

1

0

1

X

1

1

Ў

0

1

X

1

1

0

Так, из таблицы следует, что для обеспечения функции перехода триггера = Ў сигналы управлении входами должна принимать значения: для RS - триггера- S=0, R=1; для JK - триггера - K=1, J = X, т.е. любое значение: либо 0, либо 1; для Т-триггера - Т = 1; для D-триггера - D = 0.

Выбор типа триггера для проектируемого счетчика в основном определяется его структурой. Например, счетчика последовательного типа, как правило, реализуются на Т-триггерах. Параллельные счетчики строятся на базе RS, JK, D-триггеров, синхронизируемых фронтом. Однако, обычно параллельные счетчики чаще реализуются на JK-триггерах, так как счетчики на RS -триггерах имеют большее число связей между входами и выходами разрядов, чем счетчики на JK-триггерах. При использовании D-триггеров в разрядах счетчика возникает необходимость в применении дополнительных логических элементов, вследствие чего возрастает потребляемая мощность и уменьшается максимальная рабочая частота.

Карта Карно функции управления входов составляется для каждого входа каждого выбранного триггера счетчика.

В результате получается набор карт, отражающих значения логических функций на всех входах каждого триггера в зависимости от состояний счетчика.

5. Составление минимизированных логических уравнений, связывающих входы и выходы всех триггеров счетчика. Логические уравнения получают методом минимизации логических функций управления входов из полученного набора карт Карно. Эти уравнения полностью определяют структуру синтезируемого счетчика.

6. Составление структурной схемы. Схема составляется на основе полученных выражений для функций управления входами, которые преобразуются к виду, удобному для реализации на заданной элементной базе.

Использование данного метода синтеза в принципе не ограничивается числом триггеров в счетчике и типом синтезируемого счетчика. Однако при числе триггеров свыше 5-6 целесообразно использовать ЭВМ.

Рассмотрим реализацию данного метода синтеза на конкретных примерах.

2.2.2 Синтез суммирующего счетчика

Рассмотрим в качестве примера счетчик с =8, реализованного на JK-триггерах.

В счетчике должно быть количество триггеров m==3. Обобщенная структурная схема такого счетчика показана на рис.2.

Задачей синтеза является определение логических функций управления , связывающих между собой выходы триггеров , , со всеми их входами: , .

2.2.3 Алгоритм синтеза счетчика

1.Составление таблицы функционирования счётчика. Так как в суммирующем счетчике номер последующего состояния на единицу больше номера предыдущего состояния, то таблица функционирования счетчика будет иметь вид табл. 3.

Таблица 3

Состояние счётчика

Функция перехода

Предыдущее

Последующее

0

0

0

0

0

0

1

0

0

^

1

0

0

1

0

1

0

0

^

Ў

2

0

1

0

0

1

1

0

1

^

3

0

1

1

1

0

0

^

Ў

Ў

4

1

0

0

1

0

1

1

0

^

5

1

0

1

1

1

0

1

^

Ў

6

1

1

0

1

1

1

1

1

^

7

1

1

1

0

0

0

Ў

Ў

Ў

Необходимо заметить, что последующим () за седьмым состоянием счетчика является его исходное состояние, т.е. состояние № 0. Определение функций переходов . С учетом предыдущего и последующего состояний каждого из триггеров определяем функцию переходов для всех восьми состояний трех триггеров. Значения функции для каждого состояния заносим в табл. 3. Составление карты функций переходов для каждого триггера. Исходя из значений функций переходов, представленных в табл. 3, строим карты функций переходов , , соответственно для триггеров .

Каждая карта должна содержать столько клеток, сколько состояний имеет счетчик, причем, каждая клетка карты соответствует определенному предыдущему состоянию счетчика.

На рис. 3 изображена карта восьмиразрядного счетчика. Координация клетки определяется кодом состояний счетчика по вертикали , по горизонтали - .

Здесь в клетках проставлены цифры, соответствующие определенному состоянию счетчика. Так в клетке, соответствующей 3-му состоянию счетчика, соответствует код - 011, т.е. ; четвертому состоянию счетчика - код 100, т.е. . Чаще координаты клетки карты указываются так, как показано на рис. 4.

Рис.3 Рис.4

Если нужно, например, выделить клетки 2, 6, то они определяются выражением , клетки 1,3 - , клетки 4, 5 - . Номера состояний обычно в клетках не указываются.

На рис. 5 изображены три карты функций переходов для каждого из триггеров счетчика. В карте в клетку, соответствующую предыдущему состоянию триггера, внесены значения функций переходов из табл. 3.

Рис.5 Рис. 6

4. Составление карта Карно функций управления входов J и K каждого триггера счетчика. Эти карты по каждому входу триггера строятся заменой в каждой клетке функции переходов () на соответствующую функцию управления () в соответствии со словарем переходов (см. табл. 2).

Карты Карно функции управления триггеров по входу J с учетом карт - и словаря перехода (см. табл. 2) изображены на рис. 6, а по входу K - на рис. 7

Рис.7

5. Составление минимизированных логических уравнений. Осуществляется с помощью карт Карно. Для чего в полученных картах (см. рис. 6, 7) проводим контуры, охватывающие клетки с единицами. Причем, с целью упрощения функции в контуры могут быть включены клетки, в которых функция не определена (клетки, отмеченные знаком X). Контуры необходимо выбирать таким образом, чтобы результирующая функция была наиболее простой. Такие контуры изображены на рис. 6,7. Из карт для выбранных контуров получаем:

J2 =Q1Q0; J1 = Q0; J0 = 1. (1)

; ; . (2)

Любые другие контуры приведут к усложнению функции управления. Например, для иначе выбранного контура карты функции управления K1 ,изображенного на рис. 8, имеем . Это сложнее, чем для выбранного на рис. 7 контура, где .

Рис. 8

6. Составление структурной схемы осуществляется с учетом выражений, полученных для и , которые связывают между собой выходы и входы всех триггеров счетчика. Из выражений (1, 2) следует, что

; ; . (3)

Это значит, что на J и K входы второго триггера должен быть подан сигнал, являющийся конъюнкцией прямых выходов первого и нулевого триггера, на J и K входы первого триггера - сигнал с прямого выхода нулевого триггера, а на J и K входы нулевого триггера - потенциал, соответствующий логической единице. Схема счетчика, построенная на JK-триггерах и реализующая функции (3) приведена на рис. 9.

Рис.9

2.2.3 Синтез вычитающего счетчика

В вычитающем счетчике номер последующего состояния должен быть на единицу меньше предыдущего состояния. Поэтому для вычитающего счетчика =8 таблица функционирования будет иметь вид табл. 4.

Таблица 4

Состояние счётчика

Функция перехода

Предыдущее

Последующее

0

1

1

1

1

1

0

1

1

Ў

1

1

1

0

1

0

1

1

Ў

^

2

1

0

1

1

0

0

1

0

Ў

3

1

0

0

0

1

1

Ў

^

^

4

0

1

1

0

1

0

0

1

Ў

5

0

1

0

0

0

1

0

Ў

^

6

0

0

1

0

0

0

0

0

Ў

7

0

0

0

1

1

1

^

^

^

На основании таблицы функционирования счетчика составляем для каждого триггера таблицу функций перехода для каждого из восьми состояний, значения заносим в таблицу 4. На основании таблицы переходов триггеров составляем карты функций переходов для каждого триггера (рис, 10).

Рис.10

Рис.11

Заменяя функции переходов в картах рис. 10 значениями функций управления и из словаря переходов (см. табл. 2), получаем карты Карно для входов J и K каждого триггера счетчика (см. рис. 11).

После минимизации получаем логические уравнения входов J и K триггеров счетчика:

, , (4)

Из выражения (4) следует, что на J и K входы второго триггера следует подать конъюнкцию логических переменных с инверсных выходов первого и нулевого триггеров. Входы первого триггера должны быть соединены с инверсным выходом нулевого триггера, а на J и K входы нулевого триггера необходимо подать потенциал, соответствующий логической единице. Схема вычитающего счетчика, построенного в соответствии с полученными результатами, приведена на рис. 12.

Рис.12

2.2.4 Реверсивный счетчик

Реверсивный счетчик осуществляет счет сигналов, как в режиме сложения, так и в режиме вычитания. Режим работы изменяют с помощью схемы управления. В качестве примера рассмотрим синтез восьмиразрядного реверсивного счетчика. Воспользуемся результатами, полученными при синтезе суммирующего и вычитающего счетчиков в пп. 2.2.2. и 2.2.3.

Для этих счетчиков:

I) в режиме сложения; ;

2) в режиме вычитания ; ; .

Из этих выражений следует, что при изменении режима счета функции на управляющих J и K изменяются на инверсные только на входах второго и первого триггеров. Поэтому для реверсивного счета необходимо произвести коммутацию выходов нулевого и первого триггеров счетчиков. Коммутация осуществляется с помощью сигнала управления Т, применяющего значения 1 при суммировании и 0 при вычитании. Тогда логические уравнения, описывающие схему управления, имеют вид:

Здесь при Т=1 обеспечивается режим сложения, а при Т=0 - режим вычитания. Эти выражения можно преобразовать с помощью логических элементов И-ИЛИ-НЕ [3, с. 232] к виду:

Схема управления, обеспечивающая изменение режима работы счетчика, приведена на рис. 13.

Рис.13

2.2.5 Двоичный счетчик с произвольным порядком счета

Состояние счетчика с произвольным порядком счета с приходом очередного входного сигнала изменяется на величину, отличную от единицы. Исходными данными является порядок перехода счетчика в последующее состояние.

В качестве примера рассмотрим восьмиразрядный счетчик с изменением сначала четных состояний счетчика, а затем нечетных. Таблица функционирований такого счетчика представлена ниже (табл. 5).

Таблица 5

Состояние счётчика

Функция перехода

Предыдущее

Последующее

0

0

0

0

0

1

0

0

^

0

1

0

1

0

1

0

0

^

Ў

0

2

1

0

0

1

1

0

1

^

0

3

1

1

0

0

0

1

Ў

Ў

^

4

0

0

1

0

1

1

0

^

1

5

0

1

1

1

0

1

^

Ў

1

6

1

0

1

1

1

1

1

^

1

7

1

1

1

0

0

0

Ў

Ў

Ў

В соответствии с таблицей функционирования счетчика определим функции перехода каждого триггера (см. табл. 5). На основании таблицы переходов триггеров составим карты функций переходов для каждого триггера: (рис. 14).

Рис. 14

Заменяя функции переходов в картах, изображенных на рис. 14, значениями функций управления J и K из словаря переходов (см. табл. 2), получаем карты Карно для J и K входов каждого триггера счётчика (рис.15).

Рис. 15

Минимизируя, получим логические уравнения входов J и K:

; ; .

Из этих выражений следует, что на J и K входы второго триггера следует подать прямой выход с первого триггера, на J и K входы нулевого триггера - конъюнкцию логических переменных с прямых выходов второго и первого триггеров. На входы первого триггера необходимо подать потенциал соответствующий логической единице.

Схема счетчика, построенного в соответствии с полученными результатами, приведена на рис. 16.

Рис. 16

2.2.6 Недвоичный счетчик

Недвоичные счетчики имеют . Принцип их построения состоит в исключении некоторых устойчивых состояний обычного двоичного счетчика. Исключающие состояния счетчика называют избыточными. Исключение из избыточных состояний осуществляется с помощью введения обратных связей внутри счетчика. Алгоритм синтеза недвоичного счетчика аналогичен вышеизложенному. В качестве примера рассмотрим работу счетчика с =5 , принимающего последовательно состояния 0, 1, 3, 5, 7.

Счетчик строится на 3 триггерах, так как m=[]=3. Число избыточных состояний . Таблица функционирования счетчика и функций перехода имеет вид табл. 6.

Таблица 6

Состояние счётчика

Функция перехода

Предыдущее

Последующее

0

0

0

0

0

0

1

0

0

^

1

0

0

1

0

1

1

0

^

1

3

0

1

1

1

0

1

^

Ў

1

5

1

0

1

1

1

1

1

^

1

7

1

1

1

0

0

0

Ў

Ў

Ў

Карты функций переходов для каждого триггера показаны на рис. 17.

Рис. 17

Избыточные, исключенные состояния счетчика в клетках карт отмечены знаком "-". На основании представленных карт построим карты Карно функций управления J и K входами триггеров (рис. 18).

Рис. 18

Учитывая, что в клетках с исключенными состояниями, функция не определена, при проведении контуров в картах: Карно необходимо их включать с целью упрощения функций. После минимизации получим:

; ; ;

Схема счетчика с учетом полученных выражений представлена на рис. 19.

Рис. 19

2.2.7 Двоично-десятичный счётчик

Двоично-десятичный счетчик является разновидностью недвоичного счетчика с =10. Счетчики строятся на основе четырехтриггерных двоичных счетчиков исключением шести избыточных состояний. Счетчики работают в различных двоично-десятичных кодах, часть из которых приведена в приложении 2. Особую группу составляют самодополняющие коды, характерной особенностью которых является соответствие обратных двоичных чисел обратным десятичным числам.

Порядок синтеза синхронных двоично-десятичных счетчиков принципиально не отличается от порядка синтеза недвоичных счетчиков. Разница заключается в том, что выбор комбинации шести исключаемых состояний определяется исходя из двоично-десятичного кода, в котором должен работать счётчик. В качестве примера рассмотрим синтез счетчика в простейшем коде 8-4-2-1. Таблица функционирования счетчика будет иметь вид табл. 7. Используя таблицу состояний счетчика, находим функции переходов . Для каждого разряда, карты которых даны на рис. 20.

Таблица 7

0

0

0

0

0

0

0

0

1

0

0

0

^

1

0

0

0

1

0

0

1

0

0

0

^

Ў

2

0

0

1

0

0

0

1

1

0

0

1

^

3

0

0

1

1

0

1

0

0

0

^

Ў

Ў

4

0

1

0

0

0

1

0

1

0

1

0

^

5

0

1

0

1

0

1

1

0

0

1

^

Ў

6

0

1

1

0

0

1

1

1

0

1

1

^

7

0

1

1

1

1

0

0

0

^

Ў

Ў

Ў

8

1

0

0

0

1

0

0

1

1

0

0

^

9

1

0

0

1

0

0

0

0

Ў

0

0

Ў

С помощью словаря переходов JK-триггера (см. табл. 2) получаем карты Карно для функций входов J и K триггеров в каждом разряде (рис. 21).

Рис. 20

После минимизации выражений функции входов J и K будут иметь вид:

, , , ,

, , ,

Рис. 21

Счетчик реализуем на JK-триггерах, выполняющих операцию, "И" на входах. Схема счетчика показана на рис. 22.

Рис. 22

2.3 Моделирование счетчиков

Алгоритм моделирования счетчиков приведен на рис. 23, Вначале определяется тип кода. Если код равномерный, то заносятся начальный и конечный коды. Для того, чтобы определить, в каком режиме будет работать счетчик, производится сравнение начального и конечного кодов. Если начальный код меньше конечного, то выбирается режим суммирования и наоборот. Далее программным путем восстанавливается полная таблица переходов.

Если же код неравномерный, то осуществляется ввод последовательности кодов и строится таблица переходов.

На основании таблицы переходов определяется переключательная функция для каждого разряда счетчика , а затем (с помощью словаря переходов) - функции входов J и K для каждого разряда счетчика. Далее таблицы для J и K для каждого разряда счетчика минимизируются.

Квайном и Мак-Класки предложен следующий алгоритм минимизации логических функций /5/:

1. Составить таблицу для всех единичных точек (F=1) и неопределенных точек F=х функции , разбитых на классы ,

Рис.23

, , ... , где содержит все комбинации с i входными переменными. равными 1, и n-i переменными, равными 0.

Например, для четырехразрядного счетчика строятся классы ,

, , :

- содержит комбинацию, состоящую из нулей (т.е. =0, =0, =0, =0);

- комбинации из одной единицы и трех нулей (0001, 0010, 0100, 1000);

- комбинации из двух единиц и двух нулей (0011, 1001, 0110, 1100, 0101, 1010);

- комбинации из трех единиц и одного нуля (0111, 1011, 1101, 1110);

- комбинацию из четырех единиц 1111.

2. Сравнить каждый элемент в с каждым элементом +1 для всех i , .

Для пар, отличающихся только на один литерал X (отличие только в одном j разряде), образовать новые импликанты, покрывающие обе точки.

Эти импликанты не определены для , а оставшиеся переменные сохраняют те же значения, что и в паре комбинируемых строк. Новые импликанты поместить в класс , а строки, использованные для их образования, пометить знаком V.

Присвоить каждой новой строке =1, если хотя бы одна из строк, использованных, для её образования, имеет =1. Если обе строки имеют неопределенные метки, то это же значение присвоить новой строке.

3. Повторить шаг 2, используя ' и +1' для образования ''. Аналогично образовать ''' из " и Si+1" и продолжать эту процедуру до тех пор, пока дальнейшие комбинации окажутся невозможными. При этом неопределенные метки комбинируемых строк сохраняют неопределенность и во вновь образованных строках.

Строки, не учитываемые в процедуре (определяемые по отсутствию знака "V") являются простыми импликантами при условии, что они содержат метку , равную 1.

Таким образом, по окончании минимизации на экране дисплеи высвечиваются функции входов J и K для каждого разряда счетчика.

БИБЛИОГРАФИЧЕСКИЙ СПИСОК

Алексеенко А.Г. Основы микросхемотехники. Элементы морфологии микроэлектронной аппаратуры. М.: Сов. Радио, 1971.

Алексеенко А.Г., Шагурин И.И. Микросхемотехника: учебное пособие для вузов/ Под ред. И.П.Степаненко. М.: Радио и связь, 1982.

Букреев И.Н. и др. Микроэлектронные схемы цифровых устройств. Изд. 2-е, перераб. и доп. М.: Сов. Радио, 1975.

Проектирование радиоэлектронных устройств на интегральных микросхемах. Под ред. С.Я. Шаца. М.: Сов. Радио, 1976.

Фридман А., Шеннон П. Теория и проектирование переключательных схем. М.; Мир, 1978.

счетчик сигнал триггер двоичный

Приложение 1

Распространённые коды двоичных чисел

Двоичное счисление

Обратный код

Дополнительный код

Циклический код Грея

0

0

0

0

0

1

1

1

1

0

0

0

0

0

0

0

0

1

0

0

0

1

1

1

1

0

1

1

1

1

0

0

0

1

2

0

0

1

0

1

1

0

1

1

1

1

0

0

0

1

1

3

0

0

1

1

1

1

0

0

1

1

0

1

0

0

1

0

4

0

1

0

0

1

0

1

1

1

1

0

0

0

1

1

0

5

0

1

0

1

1

0

1

0

1

0

1

1

0

1

1

1

6

0

1

1

0

1

0

0

1

1

0

1

0

0

1

0

1

7

0

1

1

1

1

0

0

0

1

0

0

1

0

1

0

0

8

1

0

0

0

0

1

1

1

1

0

0

0

1

1

0

0

9

1

0

0

1

0

1

1

0

0

1

1

1

1

1

0

1

10

1

0

1

0

0

1

0

1

0

1

1

0

1

1

1

1

11

1

0

1

1

0

1

0

0

0

1

0

1

1

1

1

0

12

1

1

0

0

0

0

1

1

0

1

0

0

1

0

1

0

13

1

1

0

1

0

0

1

0

0

0

1

1

1

0

1

1

14

1

1

1

0

0

0

0

1

0

0

1

0

1

0

0

1

15

1

1

1

1

0

0

0

0

0

0

0

1

1

0

0

0

Приложение 2

Распространённые двоично-десятичные коды чисел

Десятичное число

Двоичный код

Десятичные эквиваленты двоичных чисел в различных кодах

Несамодополняющийся

Самодополняющийся

8-4-2-1

2-4-2-1 (код Айкена)

4-2-2-1

5-2-1-1

5-4-2-1

Невзвешенный

2-4-2-1

С избытком 3

4-2-2-1

0

0000

0

0

0

0

0

0

0

-

0

1

0001

1

1

1

1

1

1

1

-

1

2

0010

2

2

2

-

2

2

2

-

2

3

0011

3

3

3

2

3

3

3

0

-

4

0100

4

4

-

-

4

-

4

1

-

5

0101

5

5

-

3

-

-

-

2

3

6

0110

6

6

4

-

-

4

-

3

4

7

0111

7

7

5

4

-

5

-

4

-

8

1000

8

-

-

5

5

-

-

5

-

9

1001

9

-

-

6

6

-

-

6

5

10

1010

-

-

6

-

7

-

-

7

6

11

1011

-

-

7

7

8

-

5

8

-

12

1100

-

-

-

-

9

8

6

9

-

13

1101

-

-

-

8

-

9

7

-

7

14

1110

-

8

8

-

-

6

8

-

8

15

1111

-

9

9

9

-

7

9

-

9

Размещено на Allbest.ru


Подобные документы

  • Суммирующий, вычитающий и реверсивный последовательный, параллельный суммирующий счетчики. Составление структурной и функциональной схемы счетчика. Минимизация функций управления, составление таблицы функционирования и определение функций переходов.

    курсовая работа [122,4 K], добавлен 14.03.2010

  • Принципы работы счетчика двоичных чисел, методика синтеза счетчиков-делителей. Построение функциональной и принципиальной схем. Схема счетчика-делителя с коэффициентом деления 48. Применение счетчиков на интегральных схемах со средней степенью интеграции.

    курсовая работа [295,0 K], добавлен 14.11.2017

  • Построение логической схемы счетчика в среде Max+Plus II с использованием редактора символов, моделирование ее работы с помощью эмулятора работы логических схем. Триггеры со статическим и динамическим управлением. Анализ алгоритма синтеза счетчиков.

    лабораторная работа [128,3 K], добавлен 23.11.2014

  • Процесс разработки функциональной схемы автомата Мура для операции деления без восстановления остатка. Кодировка состояний переходов, системы логических функций, сигналов возбуждения, их минимизация. Построение функциональной схемы управляющего автомата.

    курсовая работа [868,4 K], добавлен 07.04.2012

  • Назначение устройства, его cтруктурная схема, элементная база. Функциональная схема сложения в двоично-десятичном коде. Время выполнения операции. Принцип работы суммирующего счетчика в коде Грея. Синтез функций возбуждения триггеров. Временные диаграммы.

    курсовая работа [853,7 K], добавлен 14.01.2014

  • Сигналы и их характеристики. Линейная дискретная обработка, ее сущность. Построение графиков для периодических сигналов. Расчет энергии и средней мощности сигналов. Определение корреляционных функций сигналов и построение соответствующих диаграмм.

    курсовая работа [731,0 K], добавлен 16.01.2015

  • Разработка блока управления в АЛУ, выполняющего сложение чисел с плавающей точкой; структурная и функциональная схемы, алгоритм сложения чисел. Выбор типа автомата, преобразование таблиц переходов и выходов в таблицу функций возбуждения триггеров.

    курсовая работа [283,3 K], добавлен 06.08.2013

  • Технические требования, назначение, условия эксплуатации и основные параметры счетчиков. Технологические и конструктивные требования. Выбор и обоснование схемы электрической функциональной и принципиальной. Выбор комплектующих. Помехозащищенность схемы.

    курсовая работа [4,1 M], добавлен 25.12.2012

  • Установление соответствия абстрактных и структурных сигналов. Система канонических уравнений для выходных сигналов. Закодированная таблица переходов и возбуждения. Функция входов Т-триггера. Построение функциональной схемы синтезированного автомата.

    курсовая работа [360,1 K], добавлен 07.05.2013

  • Знакомство с ключевыми особенностями постройки шестнадцатеричного счетчика, работающего в коде Грея с индикацией на 7-сегментном индикаторе. Общая характеристика счетчиков с последовательным переносом: основное назначение устройств, рассмотрение функций.

    контрольная работа [1,6 M], добавлен 06.08.2013

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.