Разработка цифрового автомата

Построение структурной схемы цифрового автомата. Назначение управляющих сигналов. Построение таблицы состояний. Запись алгебраических функций. Выбор микросхем для принципиальной схемы. Расчет быстродействия и энергопотребления. Разработка счетчика.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид контрольная работа
Язык русский
Дата добавления 25.10.2013
Размер файла 271,1 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Разработка цифрового автомата

1. Построение структурной схемы цифрового автомата

Преобразователь кода предназначен для преобразования двоичного кода 8-4-2-1 в код КОИ-8 в соответствии с фамилией и инициалами курсанта.

Счетчик предназначен для получения взвешенного двоичного кода типа 8-4-2-1. Коэффициент счета счетчика зависит от количества знаков в составе фамилии и инициалах курсанта.

Регистр сдвига предназначен для преобразования параллельного кода, поступающего с выхода преобразователя кода, в последовательный с последующей передачей его в линию.

Устройство управления предназначено для получения управляющих сигналов цифрового автомата.

Назначение управляющих сигналов:

С0 - сброс триггеров счетчика и регистра сдвига в состояние 0;

С1 - тактовые импульсы, подаваемые на счетчик;

С2 - импульсы, обеспечивающие одновременную запись информации в регистр;

С3 - импульсы синхронизации, подаваемые на входы С триггеров регистра сдвига и обеспечивающие поразрядное считывание информации сдвигового регистра в линию.

Подробной разработке вплоть до принципиальной схемы подлежат преобразователь кода, счетчик и регистр сдвига. Устройство управления разрабатывается в части, необходимой для расчета быстродействия цифрового автомата.

2. Разработка преобразователя кода

2.1 Построение таблицы состояний

Таблица состояний преобразователя кода включает столбец «№ набора», столбец «Знак», двоичный код 8-4-2-1, двоичный код КОИ-8. Число номеров наборов может быть 16 (4 разряда) и реже 8 (3 разряда) в зависимости от числа знаков, составляющих фамилию и инициалы курсанта с учетом двух точек и пробела между фамилией и инициалами. В столбец «Знак» записаны фамилия и инициалы, а также пробел и точки. Справа записаны в возрастающем порядке элементы двоичного кода 8-4-2-1 и элементы кодов КОИ-8, соответствующие знаку в столбце «Знак». Заметим, что код КОИ-8 состоит из 8 элементов и в таблице ему отводятся столбцы y7…y0.

Наборы, выходящие за пределы комбинации знаков, считаются факультативными и обозначаются буквой Ф. Они будут использованы при минимизации булевых функций.

2.2 Запись алгебраических функций

По таблице состояний записываются булевы функции, соответствующие каждому символу кода КОИ-8. Для нашего примера такие функции, выраженные в первой стандартной форме, без учета факультативных условий будут иметь вид:

;

;

;

;

;

Анализируя полученные функции видим, что их реализация столкнется с существенными затратами поэтому необходима минимизация.

2.3 Выбор микросхем для построения принципиальной схемы

Перед построением принципиальной схемы преобразователя кода необходимо с помощью справочника выбрать из заданной серии требуемое число корпусов ИС с учетом числа логических элементов в корпусе. При этом надо знать, что в соответствии с ГОСТ логические элементы могут быть 2-х-, 3-х-, 4-х - и 8-и-входовыми. В приведенных в задании сериях ИС логические элементы размещены в корпусах, имеющих 14 выводов. Поэтому в корпусе можно поместить четыре 2-х-входовых, либо три 3-х-входовых, либо два 4-х-входовых, либо один 8-и-входовый элемент.

Согласно заданию цифровой автомат строится на серии К155, в которой базовым является логический элемент И-НЕ, поэтому для построения преобразователя кода согласно функциональной схеме, потребуется 3 корпуса К155ЛА3 (10 2-входовых логических элементов), 3 корпуса К155ЛА4 (8 3-входовых логических элементов), 2 корпуса К155ЛА1 (3 4-входовых логических элементов).

Выбранные по справочнику корпуса логических элементов изображают с учетом номеров выводов каждого элемента, входящего в корпус. Здесь же приведем основные параметры выбранных логических элементов.

2.4 Разработка принципиальной схемы

Принципиальная электрическая схема узла строится на основе его функциональной схемы. При этом рекомендуется шины переменных поместить в один жгут с указанием числа шин в жгуте. Логические элементы в отличие от того, как они приводятся в справочнике, размещаются произвольно, но, как правило, так же, как на функциональной схеме. Каждый логический элемент принципиальной схемы получает индивидуальный номер, включающий порядковый номер корпуса и через точку - порядковый номер элемента в составе корпуса. Например, надпись поверх логического элемента DD1.3 означает, что он располагается под порядковым номером 3 в составе корпуса с порядковым номером 1.

Логические элементы, незадействованные в принципиальной схеме, рисуются отдельно. При этом указываются их порядковые номера и номера выводов. Для обеспечения наименьшего энергопотребления незадействованных элементов они ставятся в состояние логической 1. Для этого входные выводы элементов подключаются к шине «земля».

На принципиальной схеме указываются также выводы ИС, которые соединяются с шинами источника питания (Uи.п.) и «земля», и спецификация.

2.5 Расчет быстродействия и энергопотребления

а) Расчет быстродействия

Под быстродействием преобразователя кода будем понимать максимальную задержку сигнала от момента смены входного двоичного кода, поступающего от счетчика, до момента появления на выходе новой кодовой комбинации. Чтобы избежать ошибок в работе устройства, смена переменных на входе должна произойти не раньше, чем закончатся переходные процессы на самом продолжительном участке принципиальной схемы, называемым глубиной. Количественно глубину схемы оценим числом логических элементов Г, лежащих на этом самом продолжительном участке. Отсюда максимальное значения задержки преобразователя кода будет равно:

,

где Г - глубина схемы, - максимальная задержка распространения сигнала логического элемента (в расчете на наихудший случай - это максимальная из двух задержек распространения и , приводимых в справочнике для данного типа логических элементов).

В цифровом узле, выполненном по принципиальной схеме рис. 2.6, при глубине Г=2 и максимальной задержке распространения сигнала логических элементов серии К155 =22 нс задержка преобразователя кодов будет равна:

.

б) Расчет энергопотребления

При расчете энергопотребления преобразователя кода необходимо определить мощность, потребляемую каждым корпусом ИС отдельно, а затем, сложив их, получить полную потребляемую мощность.

Средняя потребляемая мощность ИС определяется по формуле:

, (2.4)

где и - токи, потребляемые ИС в состояниях логической 1 и логического 0; Uип - напряжение источника питания.

Для нашего примера получим:

для К155ЛА3 ;

для К155ЛА1 ;

для К155ЛА4 ;

для преобразователя кода Pпотр=75.3+37,5.3+56,25.2=450 мВт

3. Разработка счетчика с заданным коэффициентом счета

цифровой автомат микросхема счетчик

3.1 Разработка функциональной схемы

Разработка функциональной схемы счетчика начинается с анализа таблицы состояний преобразователя кодов (таблица 2.1). Из нее следует, что необходимо разработать двоичный суммирующий счетчик с коэффициентом счета Kсч, обеспечивающий формирование преобразователем кода кодовой комбинации импульсов в коде КОИ-8. Очевидно Kсч зависит от количества закодированных знаков в составе фамилии и инициалов. При этом он должен быть таким, чтобы после формирования преобразователем кода последнего знака очередной импульс, поступающий на вход счетчика, создал бы на его выходе кодовую комбинацию, после которой счетчик возвратился бы в исходное нулевое состояние.

В нашем случае это будет кодовая комбинация 1100, очерченная в таблице 2.1 пунктирной линией. Ей соответствует Kсч=12. Такой коэффициент счета обеспечит 4-х-разрядный двоичный счетчик с максимальным коэффициентом счета Kсч=16.

Задача состоит в том, чтобы построить суммирующий счетчик с коэффициентом счета меньшим, чем 16. С этой целью в составе счетчика должна быть специальная схема, способная обнаружить нужное состояние (в нашем примере 1100) и перевести счетчик в исходное нулевое состояние до прихода очередного импульса.

Далее необходимо провести предварительный просмотр заданного типа триггера в заданной серии ИС. При построении суммирующего счетчика триггер должен содержать установочный вход в состояние 0. Необходимо обратить внимание на то, какой это вход - прямой или инверсный. Важным при соединении триггеров является также то, как происходит запись информации в заданный триггер - по фронту синхронизирующего импульса, или по его спаду.

По условию нам задан JK-триггер, можно приступить к формированию функциональной схемы, расположив требуемое количество триггеров в цепочку, друг за другом. Вначале каждый из триггеров необходимо перевести в счетный режим. Синхронизирующий вход С триггеров стал Т входом Т - триггера.

В дальнейшем соединение между триггерами зависит от двух факторов: 1 - суммирующий счетчик или вычитающий; 2 - запись информации в счетчик происходит по фронту или по спаду импульса, поступающего на вход C триггера.

В нашем случае счетчик суммирующий, тогда согласно таблице состояний для этого счетчика триггер старшего разряда переходит в другое состояние, если триггер младшего разряда переходит из 1 в 0. Запись информации в триггер старшего разряда происходит по фронту входного импульса, т.е. при перепаде из 0 в 1, следовательно со входом С триггера старшего разряда следует соединить выход триггера младшего разряда.

До поступления на вход счетчика тактовых импульсов желательно, чтобы его триггеры были установлены в исходное состояние. Для суммирующего счетчика это состояние 0. Для этого используют установочные входы триггеров. В данном случае, так как счетчик суммирующий, потребуются триггеры, имеющие установочные входы R. Эти входы следует объединить шиной и подать на нее одиночный и инверсный - импульс, так как установочные входы выбранных триггеров инверсные. Неиспользуемые установочные входы следует также объединить шиной и подать на нее неактивный логический уровень - нашем случае, так как входы инверсные следует подать уровень логической 1 (шина «+Uип»).

Схема обнаружения состояния счетчика, после которого происходит его переход в исходное состояние при заданном коэффициенте счета, чаще всего строится на логических элементах И-НЕ. Если счетчик 4-х-разрядный, то потребуется 4-х-входовый логический элемент И-НЕ. Согласно таблице состояний этого элемента логический 0 на его выходе будет в том случае, если на все его 4 входа будут поданы логические 1. Чтобы это произошло, поступим следующим образом: если в каком-либо разряде счетчика стоит логическая 1, то со входом логического элемента соединяют выход Q триггера этого разряда; если в каком-либо разряде счетчика стоит логический 0, то со входом логического элемента соединяют выход триггера этого разряда.

Выход логического элемента схемы обнаружения следует соединить с шиной, по которой осуществляется сброс всех триггеров счетчика в исходное состояние. Такое непосредственное соединение можно выполнить, если у триггеров счетчика инверсные установочные входы, требующие логического 0.

С целью исключения влияния выходов схемы установки «0» и схемы обнаружения ставя полупроводниковый диод VD.

На временных диаграммах следует показать следующие сигналы:

сигнал установки триггеров в исходное состояние перед началом работы (для суммирующего счетчика - установка в состояние 0);

входные сигналы (тактовые импульсы для одного цикла счета - на один импульс больше требуемого коэффициента счета);

выходные сигналы каждого триггера счетчика;

сигнал установки триггеров в исходное состояние в конце цикла счета (на выходе схемы обнаружения кодовой комбинации).

Задержки триггеров и логических элементов показать только для случая переключения всех триггеров (для 3-х разрядного счетчика - после 4-го импульса, для 4-х разрядного счетчика - после 8-го импульса) и в конце цикла счета.

При построении принципиальной схемы счетчика необходимо с помощью справочника выбрать из заданной серии необходимое число корпусов ИС с учетом числа триггеров и логических элементов в корпусе. При построении функциональной схемы предварительный просмотр и выбор типа триггера уже выполнен. Теперь следует выбрать лишь число корпусов и зафиксировать номера выводов. Тип логического элемента выбирается из заданной серии с учетом необходимого числа входов.

Выбранные по справочнику корпуса триггеров и логических элементов изобразим с учетом номеров выводов каждого элемента, входящего в корпус. Здесь же приведем их основные параметры. В качестве примера на рис. 2.10 изображен состав корпусов триггеров и логических элементов серии К155, необходимых для построения суммирующего счетчика.

Принципиальная электрическая схема счетчика строится на основе его функциональной схемы. Триггеры и логические элементы в отличие от того, как они приводятся в справочнике, размещаются так же, как и на функциональной схеме. Каждый элемент принципиальной схемы получает индивидуальный номер, включающий порядковый номер корпуса и через точку - порядковый номер элемента в составе корпуса.

Триггеры и логические элементы, незадействованные в принципиальной схеме, рисуются отдельно. При этом указываются их порядковые номера и номера выводов. Для обеспечения наименьшего энергопотребления незадействованных логических элементов они ставятся в состояние 1. Для этого входные выводы элементов подключаются к шине «земля».

На принципиальной схеме указываются также выводы ИС, которые соединяются с шинами источника питания (Uип) и «земля», и спецификация.

Из анализа временных, видно, что во избежание ошибок счета до поступления на вход очередного импульса переходные процессы в схеме должны быть закончены, т.е. период следования входных импульсов Т должен быть больше времени переходных процессов. В 4-х разрядном счетчике опасные ситуации возникают после 8-го импульса и в конце цикла счета. На временных диаграммах они показаны. Очевидно, период следования импульсов Т должен быть больше максимальной из задержек, связанных с переходными процессами в этих ситуациях, т.е.

Определим эти задержки для принципиальной схемы:

для случая переключения всех триггеров

,

в конце цикла счета

.

Наибольшей оказалась задержка для случая переключения всех триггеров tздсч=tзд1, отсюда максимальная частота тактовых импульсов будет равна

В расчете на наихудший случай использовались максимальные из двух задержек распространения и, приводимых в справочнике для данного типа триггеров и логических элементов.

б) Расчет энергопотребления

При расчете мощности, потребляемой счетчиком, необходимо определить мощность, потребляемую каждым корпусом ИС отдельно, а затем, сложив их, получить полную потребляемую мощность.

Средняя потребляемая мощность ИС определяется по формуле:

, (2.2)

где и - токи, потребляемые ИС в состояниях логической 1 и логического 0; - напряжение источника питания.

В нашем примере нет необходимости рассчитывать по формуле (2.2) мощности, потребляемые каждым из корпусов. Они в справочнике заданы, поэтому для счетчика, выполненного по принципиальной схеме, изображенной на рис. 2.11, получим:

Pпотсч =2Pпоттр +Pпотлэ = 2*157,5+20=335 мВт.

В регистр сдвига записывается кодовая комбинация параллельным кодом. Для записи каждого разряда 8-элементного параллельного кода служит один триггер регистра. По этой причине регистр сдвига должен состоять из 8-ми JK-триггеров для кода КОИ-8. С целью синхронной работы цифрового автомата необходимо обеспечить одновременную запись кодовой комбинации в регистр сдвига. Это выполняется обычно схемой, состоящей из двухвходовых логических элементов И-НЕ. На один вход этих элементов подается сигнал с одного из выходов преобразователя кодов, а на второй вход сигнал С2 от схемы управления. При поступлении на входы логического элемента двух единиц одновременно на его выходе появится 0. Если подать его на инверсный установочный вход S триггера, то в соответствующий триггер будет записана 1. При этом предварительно схемой управления все триггеры регистра с помощью установочного входа R должны быть установлены в 0 сигналом С0.

Для считывания кодовой комбинации в линию на вход синхронизации регистра сдвига от устройства управления подается последовательность импульсов С3. Последовательность должна состоять из 8 импульсов для кода КОИ-8.

Установка триггеров регистра в нулевое состояние с помощью установочного входа R необходимо лишь перед формированием кодовой комбинации первого знака. В дальнейшем запись 0 в триггеры будет производиться автоматически по мере перезаписи информации из одного триггера в другой. Так как входы J и K первого триггера постоянно соединен с клеммой «земля», то первым импульсом С3 произойдет запись 0 в первый триггер, а восьмым - в последний. Таким образом, после освобождения регистра от кодовой комбинации предыдущего знака в каждом триггере регистра будет записан 0 и он готов для записи информации, соответствующей следующему знаку.

Временные диаграммы, иллюстрирующие работу регистра сдвига при считывании одной из возможных кодовых комбинаций, записанной в регистр.

После включения источника питания с устройства управления на установочные входы R триггеров регистра сдвига поступает одиночный импульс С0, устанавливающий их в состояние 0. Преобразователь кода формирует кодовую комбинацию, соответствующую первому знаку, которая появляется на его выходах y7…y0. С помощью стробирующего импульса С2 эта кодовая комбинация записывается в регистр сдвига, появляясь на выходах триггеров регистра Q7…Q0. Далее с помощью последовательности сдвигающих импульсов (8 импульсов для КОИ-8) записанная кодовая комбинация перемещается вправо по регистру. На выходной клемме регистра поочередно появляются все разряды кодовой комбинации, начиная со старшего разряда.

Чтобы убедиться в правильности работы регистра сдвига, необходимо проверить совпадение кодовой комбинации на шине Q7(Вых) и кодовой комбинации, записанной в регистр импульсом С2.

Для построения принципиальной схемы регистра сдвига воспользуемся JK-триггерами и двухвходовыми логическими элементами И-НЕ, которые уже использовались для построения счетчика и преобразователя кодов. Из анализа функциональной схемы регистра видно, что для построения его принципиальной схемы потребуется 4 корпуса триггеров типа К155ТB15 и 2 корпуса логических элементов типа К155ЛА3. В нашем примере при разработке принципиальной схемы преобразователя кода остался незадействованным один 2-х-входовый логический элемент в корпусе DD8. Его можно использовать в принципиальной схеме регистра сдвига.

При построении принципиальной схемы регистра сдвига соблюдаются те же требования, что и при построении счетчика. Принципиальная схема регистра повторяет функциональную схему с той лишь разницей, что каждому элементу схемы приписывается номер корпуса и номер элемента в корпусе, например, DD1.1. Каждому выводу элемента приписывается его номер из справочника. Кроме того, здесь же приводятся незадействованные элементы, если они есть, и спецификация.

Быстродействие регистра сдвига без учета времени записи информации в регистр определяется минимально-допустимым периодом импульсов Трсд, подаваемых на его вход С, при котором происходит перезапись информации от одного триггера в другой. Это значит, что быстродействие регистра сдвига определяется быстродействием триггеров, из которых он состоит. В расчете на наихудший случай положим период следования импульсов сдвига Трсд большим наибольшей из задержек триггера и :

.

Для нашего примера

.

Отсюда максимальная частота следования сдвигающих импульсов будет равна

.

Время записи информации в регистр сдвига определяется временем задержки одного или двух логических элементов, используемых для записи, и временем срабатывания триггера по установочному входу:

,

где n - число логических элементов в схеме записи;

- максимальная из задержек логического элемента и ;

- максимальная из задержек по установочному входу триггера и .

В нашем примере

=1?22+ 35=57 нс.

Потребляемая мощность регистра сдвига определяется суммой потребляемых мощностей всех элементов его составляющих:

Pпотрг =4Pпоттр +2Pпотлэ=4?157,5+2?20=670 мВт

Принципиальная схема цифрового автомата строится как совокупность принципиальных схем составляющих ее узлов: счетчика импульсов, преобразователя кода и регистра сдвига. Устройство управления показывается так же, как на структурной схеме рис. 2.1. Принципиальная схема цифрового автомата чертится на миллиметровой бумаге в формате А2. Все элементы согласуются с ЕСКД. Узлы располагаются на чертеже принципиальной схемы в произвольном порядке. На свободных местах чертежа располагаются незадействованные элементы корпусов микросхем и выводы ИС, которые соединяются с шинами источника питания (Uи.п.) и «земля». Над штампом чертежа располагается спецификация элементов схемы.

Под быстродействием цифрового автомата будем понимать максимальную частоту появления на его выходе кодовой комбинации, соответствующей фамилии и инициалам разработчика.

С0 представляет собой сигнал логического 0, который подается на инверсные установочные входы триггеров счетчика и регистра сдвига сразу после включения питания цифрового автомата. Его назначение - установка триггеров в исходное нулевое состояние. В случае прямых установочных входов триггеров форма С0 изменится на инверсную.

С1 - последовательность тактовых импульсов, подаваемых на вход счетчика.

С2 - импульс, с помощью которого производится одновременная запись кодовой комбинации, соответствующей одному знаку, в регистр сдвига.

С3 - продвигающие импульсы, подаваемые от устройства управления на регистр сдвига для передачи кодовой комбинации в линию.

Из условий работы счетчика в составе цифрового автомата период следования тактовых импульсов TC1 должен быть большим времени, в течение которого происходит формирование, запись и считывание кодовой комбинации соответствующий одному знаку:

TC1>tздсч+tздпр+tздзап+n?Tрсд,

где tздсч - время задержки счетчика;

tздпр - время задержки преобразователя кода;

tздзап - время задержки записи в регистр сдвига;

n - число двоичных знаков в заданном коде (8 - для КОИ-8);

Tрсд - период следования тактовых импульсов в регистре сдвига.

Для нашего примера:

TC1>tздсч+tздпр+tздзап+n?Tрсд =140+44+57+8?35=521 нс.

Отсюда максимальная частота следования тактовых импульсов счетчика будет равна

Период появления на выходе цифрового автомата кодовой комбинации, соответствующей фамилии и инициалам разработчика, будет равен

Tсч?Kсч•ТС1=12•521=6252 нс=6,252 мкс,

а максимальная частота

.

Потребляемая мощность автоматом, исключая устройство управления складывается из мощностей входящих в него узлов и будет равна:

Рпот ЦА=Pпотсч+Pпотпр+Pпотрг=335+450+670?1,455 Вт.

Литература

1. В.В. Гусев, О.Н. Лебедев, А.М. Сидоров. Основы импульсной и цифровой техники. СПВВИУС, Санкт-Петербург, 1995.

2 Справочник по интегральным микросхемам:/под рук. Б.В. Тарабрина: М. Радио и связь, 1983.

3. Интегральные микросхемы ТТЛ, ТТЛШ. Справочник. Г.Р. Аванесян, В.П. Левшин. М., Машиностроение 1993 г.

Размещено на Allbest.ru


Подобные документы

  • Исследование структурной схемы цифрового автомата и операционного устройства. Алгоритм функционирования цифрового автомата в микрооперациях. Кодирование его состояний. Характеристика функций возбуждения триггеров и формирования управляющих сигналов.

    курсовая работа [3,6 M], добавлен 06.12.2013

  • Расчет схемы цифрового автомата, функционирующего в соответствии с заданным алгоритмом. Кодирование состояний. Составление таблицы функционирования комбинационного узла автомата. Запись логических выражений. Описание выбранного дешифратора и триггера.

    курсовая работа [423,4 K], добавлен 18.04.2011

  • Основные понятия о цифровом устройстве и главные принципы его построения. Этапы разработки цифрового автомата по алгоритму функционирования. Выбор микросхем, их учет и расчет мощности, потребляемой автоматом. Исследование цифрового автомата на переходе.

    курсовая работа [1,0 M], добавлен 09.10.2009

  • Процесс разработки функциональной схемы автомата Мура для операции деления без восстановления остатка. Кодировка состояний переходов, системы логических функций, сигналов возбуждения, их минимизация. Построение функциональной схемы управляющего автомата.

    курсовая работа [868,4 K], добавлен 07.04.2012

  • Алгоритм работы автомата Мили в табличном виде. Графический способ задания автомата. Синтез автомата Мили на Т-триггерах. Кодирование состояний автомата. Таблицы кодирования входных и выходных сигналов. Таблица переходов и выходов абстрактного автомата.

    курсовая работа [24,7 K], добавлен 01.04.2010

  • Выполнение синтеза цифрового автомата Мура, осуществляющего отображение информации, приведение алфавитного отображения к автоматному. Построение формализованного описания автомата, минимизация числа внутренних состояний. Функциональная схема автомата.

    курсовая работа [2,8 M], добавлен 04.02.2013

  • Обобщенная схема конечного цифрового автомата. Структурная и каскадная схема мультиплексора. Кодирование входных и выходных сигналов и состояний автомата. Схема разработанного цифрового устройства. Синтез дешифратора автомата. Выбор серии микросхем.

    контрольная работа [279,1 K], добавлен 07.01.2015

  • Структурно–функциональное описание счетчика. Построение функциональной схемы синхронного автомата для 4-разрядного счетчика. Кодирование состояний автомата по критерию надежности функционирования. Логическое моделирование схемы функционального теста.

    контрольная работа [105,8 K], добавлен 14.07.2012

  • Структурная схема и синтез цифрового автомата. Построение алгоритма, графа и таблицы его функционирования в микрокомандах. Кодирование состояний автомата. Функции возбуждения триггеров и формирования управляющих сигналов. Схема управляющего устройства.

    курсовая работа [789,4 K], добавлен 25.11.2010

  • Особенности проектирования цифрового устройства для передачи сообщения через канал связи. Анализ структурной схемы автомата. Разработка задающего генератора, делителя частоты, преобразователя кода, блока питания. Построение схемы для передачи сообщения.

    курсовая работа [2,2 M], добавлен 05.02.2013

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.