Розробка керованого генератора часових послідовностей

Алгоритм функціонування керованого генератора часових послідовностей. Обґрунтування та попередній розрахунок параметрів пристрою. Розробка його принципової електричної схеми. Загальна характеристика принципу роботи схеми в цілому і її окремих вузлів.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык украинский
Дата добавления 26.03.2012
Размер файла 625,3 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

ЗМІСТ

Вступ

1 Теоретична частина

1.1 Аналіз завдання

1.2 Робота пристрою за структурною схемою

1.3 Основні технічні терміни

1.4 Принцип роботи основних блоків

2 Конструкторська частина

2.1 Обґрунтування вибору даної схеми

2.2 Вибір мікросхеми

2.3 Описання роботи електричної принципової схеми

2.4 Дослідження схеми на стимуляторі EWB 5.11

Висновок

Перелік використаних джерел

ВСТУП

Сучасному розвитку техніки зв'язку притаманні дві особливості: цифрова форма подання усіх сигналів, що повинні передаватись чи оброблятись; інтеграція техніки та служб зв'язку, що може бути повністю реалізовано тільки шляхом переводу зв'язку на цифрову та мікропроцесорну техніку.

Пропроцесори і мікро-ЕОМ стали новим масовим класом приладів цифрової техніки внаслідок малої матеріалоємност, практичності, низького енергоспоживання, високої надійності і малої вартості. Їх високі техніко-економічні параметри надають вплив, що революціонізує, ціле покоління пристроїв, обладнання, агрегатів із вбудованими мікропроцесорними засобами, в тому числі і засобів зв'язку. Важливим критерієм при побудові системи на базі МП є забезпечення взаємозв'язку окремих пристроїв, в тому числі і периферійних. Усі пристрої, що виконують функції вводу/виводу, а також підготовки даних, відносяться до периферійних пристроїв. Цілим класом таких пристроїв є мікросхеми з можливістю перепрограмування їх функцій - так звані програмовані інтерфейсні ВІС. На базі мікропроцесорів, програмованих інтерфейсних ВІС і мікро-ЕОМ виконані пристрої, що використовуються у сучасних модемах та адаптерах мереж зв'язку, системах рухомого та супутникового зв'язку, в керуючих пристроях вузлів комутації каналів та пакетів. МП та спеціалізовані ВІС широко використовуються також в технічних пристроях, що забезпечують підвищення надійності зв'язку (системах технічної діагностики, технічного обслуговування тощо), в системах керування комплексами та мережами зв'язку, а також, безумовно, у складі ПЕОМ, без яких вже неможливо уявити собі адміністрування сучасними системами і вузлами зв'язку.

Метою курсового проекту є здобуття навичок проектування цифрових пристроїв, тобто за вхідними та вихідними даними, які небохідні для побудови пристрою.

В цьому проекті потрібно розробити схему електричну принципову керованого генератора часових послідовностей. Вивчити принцип роботи схеми вцілому і його окремих вузлів. Для виконня проекту потрібно використати знання отримані з предмету цифрова техніка та мікропроцесори.

Курсова робота складається з двох частин: пояснювальної записки і графічного матеріалу - принципова електрична схема пристрою в програмі симулятор EWB і додатку: перелік елементів виконані на А4. Пояснювальна записка влючає в себе такі розділи:

- вступ;

- теоретична частина;

- конструкторська частина;

- виснавок;

- перелік елементів.

В теоретичній частині міститься опис алгоритму функціонування пристрою згідно блок-схеми, а також опис основних технічних термінів, наведено аналіз завдання, визначається послідовність операцій, що мають бути реалізовані елементами структурної схеми для виконня завдання здійснюється обгрунтування та попередній розрахунок параметрів які необхідні для розробки принципової схеми.

В конструкторській чатині наводиться опис структурних блоків, а саме опис усіх типів інтегральних мікросхем і елементів які використовуються в розробленому пристрої. Конструкторська частина також включає в себе також опис розробленої електричної принципової схеми пристрою. Розрахунок генератора тактових імпульсів, наводиться відповідні часові діаграми сигналів на виході пристрою.

схема генератор часовий послідовність

1 ТЕОРЕТИЧНА ЧАСТИНА

1.1 Аналіз завдання

Розробити цифровий пристрій, який при наявності сигналу від клавіші засуску «СТАРТ» у відповідьна 9 запускаючих цифрових імпульсів на вході Х1:На виході генерує 12 цифрових імпульсів ТТЛ типу.

На виході Y2-генерує цифровий імпульс тривалістю 16 тактів генератора тактової чатоти (ГТІ), зсунутий по фазі по відношенню до сигналу Y1 на 4 такти ГТІ; типу імпульсу -ТТЛ.

Підраховує кількість запускаючих пакетів імпульсів на вході Х1 і виводить їх на два семисигментні індикатори.

Вхідні дані. Використовувати мікросхеми серії К555. ГТІ повинен бути виконаний з використанням RC-коливального кола з частотою 800Гц. Структурна схема будується з використанням мультиплексорів.

Метою курсового проекту є розробка пристрою який при наявності певної кількості вхідних імпульсів генерує на своїх виходах сигнал з заданими параметрами. Крім того пристрій підраховує кількісь запускаючих пачок імпульсів та виводить їх на семисегментний індикатори. Для підрахунку вхідних та вихідних імпульсів використовуються лічильникі реалізовані за допомогою двійкових лічильників та мультиплексорів.

1.2 Робота пристрою за структурною схемою

Після натискання кнопки «СТАРТ» сигнал що проходить на вхід Х1 через ключ 3 поступає на лічильник 7. На даному лічильнику відраховується 9 імпульсів від тактового генератора. Після надходження сьомого імпульсу на виході лічильника формується сигнал який закриває ключ 3 блокуючи таким чином поступлення імпульсів від генератора на вхід лічильника, лічильник зупиняється.

Рисунок 1.1 - Структурна схема пристрою (1 - клавіша «СТАРТ»; 2 - генератор; 3, 4, 5 - ключ; 6, 7, 10 - лічильник; 8 - формувач коротких імпульсів; 9 - RS-ТРИГЕР; 11 - дешифратор; 12 - індикатор)

Одночасно той самий сигнал відкриває шлях проходженню тактового генератора на вхід лічильника 8 шляхом відкривання ключів 4 та 6. Крім того цей сигнал поступає на вхід формувача коротких імпульсів 10, що утворює короткочасний імпульс для подальшого підрахунку кількості запускаючих пакетів імпульсів за допомогою лічильника 11. На вході ключа 4, формується вихідна послідовнісь імпульсів-сигнал Y1.

Послідовність буде досягнута при досягнені лічильником 8 зазначення 12. При цьому на виході лічильника формується імпульс , що закриває ключ 4. В процесі підрахунку після надходження чотирьх імпульсів ТТЛ типу, які формують затримку для Y2, лічильник 8 встановлює RS-тригер 13 в одиночний стан , формуючи передній фронт імпульсу Y2, а також відкриває ключ 5 який пропускає імпульси генератора на вхід лічильника 9. Лічильник 9 підраховує 16 імпульсів після надходження яких він формує сигнал, який поступає на вхід скидання тригера 13 переводячи його в стан логічного нуля і закінчуючи тим самим формування вихідного імпульсу Y2. Для рахунку запускаючих пакетів імпульсів сигнал що формується на формувачі короткочасних імпульсів 10 приходить на лічильник 11 де він підраховує- ться у вигляді двійкового коду поступає на дешифратор 12 який в свою чергу перетворює двійковий код семисегментного індикатора та виводить його на індикатор.

1.3 Основні технічні терміни

Цифрові ІМС - це мікроелектронні схеми, які використовуються для перетворення та обробки цифрових сигналів.

Цифрові сигнали отримують шляхом дискретизації аналогових. Так, якщо в аналоговій формі дані про температуру будь-якого об'єкта подаються неперервним електричним сигналом з виходу термодатчика, то цифровий сигнал - це послідовність чисел, які за значенням рівні температурі, що виміряна через визначені проміжки часу.

Лічильником називається типовий функціональний вузол електроніки, призначений для лічби вхідних імпульсів. Лічильник являє собою зв'язаний ланцюг Т-тригерів, які утворюють пам'ять із заданим числом сталих станів.

Мультиплексором називається функціональний вузол комп'ютера, призначений для почергової комутації (перемикання) інформації від одного з n входів на загальний вихід. Номер конкретної вхідної лінії, що підключається до виходу в кожний такт машинного часу, визначається адресним кодом А0, А1, Аm-1. Зв'язок між числом інформаційних n і адресних m входів визначається співвідношенням n=2m. Таким чином, мультиплексор реалізує керовану передачу даних від кількох вхідних ліній в одну вихідну.

Демультиплексором називається функціональний вузол комп'ютера, призначений для комутації (перемикання) сигналу з одного інформаційного входу D на один з n інформаційних виходів. Номер виходу, на який в кожний такт машинного часу передається значення вхідного сигналу, визначається адресним кодом А0, А1, А2, ..., Аm-1. Адресні входи m та інформаційні виходи n пов'язані співвідношенням n=2m або m= log 2n. Демультиплексор виконує функцію, обернену функції мультиплексора.

Семисегментний індикатор - пристрій призначений для виведення інформації у вигляді цифр або символів складається з семи елементів індикації (сегментів), вмикати та вимикати окремо. Включаючи їх в різних комбінаціях, з них можна скласти спрощені зображення арабських цифр. Часто семисегментний індикатори роблять в курсивне накреслення.

Тригер - це прилад послідовнісного типу з двома стійкими станами рівноваги, призначений для запису і зберігання інформації. Під дією вхідних сигналів тригер може переходити з одного стійкого стану в інший. При цьому напруга на його виході стрибкоподібно змінюється.

RS тригер-це запам'ятовуючий елемент з роздільними інформаційними входами для встановлення його в стан «0» (R-вхід) або в стан 1 (S-вхід). Назва елемента утворена від перших літер слів RESET (скинення) і SET (установлення).Особливістю цього тригера є інверсне керування за інформаційними входами.

Резистор - електричний активний опір, призначений здебільшого для обмеження струму в електричному колі. Резистори - найбільш розповсюджені пасивні компоненти електронної апаратури, за допомогою яких здійснюється регулювання та розподіл електричної енергії між електричними колами та елементами схем.

Конденсатор-система з двох чи більше електродів (обкладок), які розділені діелектриком, товщина якого менша у порівнянні з розміром обкладок. Така система має взаємну ємність і здатна зберігати електричний заряд.

1.4 Принцип роботи основних блоків

Лічильник. Лічильником називається типовий функціональний вузол комп'ютера, призначений для лічби вхідних імпульсів. Лічильник являє собою зв'язаний ланцюг Т-тригерів, які утворюють пам'ять iз заданим числом сталих станів.

Рисунок 1.2 - Логічна структура лічильника

Розрядність лічильника n дорівнює числу T-тригерів. Кожний вхідний імпульс змінює стан лічильника, який зберігається до надходження наступного сигналу. Значення виходів тригерів лічильника Qn,Qn-1,...,Q1 відображають результат лічби в прийнятій системі числення. Логічна функція лічильника позначається буквами СТ (counter). Список мікрооперацій лічильника вміщує попереднє встановлення в початковий стан, інкремент або декремент слова, яке зберігається, видачу слів паралельним кодом та ін.

Вхідні імпульси можуть надходити на лічильник як періодично, так і довільно розподіленими у часі. Амплітуда і тривалість лічильних імпульсів мають задовольняти технічні вимоги для серій мікросхем, які використовуються. Лічильник є одним з основних функціональних вузлів комп'ютера, а також різних цифрових керуючих та інформаційно-вимірювальних систем. Основне застосування лічильників утворення послідовності адрес команд програми (лічильник команд або програмний лічильник); підрахунок числа циклів при виконанні операцій ділення, множення, зсуву (лічильник циклів); одержання сигналів мікрооперацій і синхронізації; аналого-цифрові перетворення і побудова електронних таймерів (годинників реального часу).

Лічильник характеризується модулем і ємністю лічби. Модуль лічби Клч визначає число станів лічильника. Модуль двійкового n-розрядного лічильника визначається цілим степенем двійки М=2n. Після лічби числа імпульсів Nвх=Клч лічильник повертається в початковий стан. Таким чином, модуль лічби, який часто називають коефіцієнтом перерахунку, визначає цикл роботи лічильника, після чого його стан повторюється. Тому число вхідних імпульсів і стан лічильника однозначно визначені тільки для першого циклу. Ємність лічби Nmax визначає максимальну кількість вхідних імпульсів, яку може зафіксувати лічильник при одному циклі роботи. Ємність лічби Nmax=Клч - 1 за умови, що робота лічильника починається з нульового початкового стану.

У лічильниках використовуються три режими роботи: керування, накопичення і ділення. У режимі керування зчитування інформації виконується після кожного вхідного лічильного імпульсу, наприклад, в лічильнику адреси команд. У режимі накопичення головним є підрахунок заданого числа імпульсів або лічба протягом певного часу. У режимі ділення (перерахунку) основним є зменшення частоти надходження імпульсів в КЛЧ разів. Більшість лічильників може працювати в усіх режимах, проте в спеціальних лічильниках-дільниках стани в процесі лічби можуть змінюватися в довільному порядку, що дозволяє спростити схему вузла.

Лічильники класифікують за такими ознаками:

- способом кодування - позиційні та непозиційні;

- модулем лічби - двійкові, десяткові, з довільним постійним або змінним (програмованим) модулем;

- напрямком лічби - прості (підсумовуючі, віднімальні) і реверсивні способом організації міжрозрядних зв'язків - з послідовним, наскрізним, паралельним і комбінованим переносами (позикою);

- типом використовуваних тригерів - T, JK, D в лічильному режимі;

- елементним базисом - потенціальні, імпульсні та потенціально-імпульсні, де ri - вага i-го розряду; Qi - значення виходу i-го розряду; n - число розрядів. Нульове значення всіх розрядів звичайно беруть як початковий стан лічильника. Всі інші стани нумерують за числом вхідних імпульсів, що надійшли.

У лічильниках з непозиційним кодуванням (наприклад, у кодах Грея) розряди не мають постійних ваг і кожному набору станів Qn,Qn-1,...,Q1 приписується певна кількість вхідних імпульсів.

У комп'ютерах переважно використовують лічильники з позиційним кодуванням. За видом переходів прості лічильники (Лч) розподіляються на підсумовуючі (прямої лічби) і віднімальні (зворотної лічби). У підсумовуючих лічильниках кожний доданий імпульс U+ збільшує стан на одиницю, тобто реалізується мікрооперація інкремента Лч:= Лч+1. Граф переходів підсумовуючого лічильника показаний на рис.1.3, а, де вершини означають стійкі стани. Із М-1-го стану черговий сигнал U+ повертає лічильник у початковий стан і видає сигнал переповнення Р.

У віднімальних лічильниках кожний віднімальний імпульс U - зменшує стан на одиницю, тобто реалізується мікрооперація декремента Лч:= Лч-1. Графік переходів віднімального лічильника характеризується наявністю переходів тільки в зворотному напрямку від деякого (наприклад, М-1-го) попередньо встановленого стану. Після віднімання М імпульсів лічильник видає сигнал позики Z і повертається в початковий М-1-й стан.

Рисунок 1.3 - Графи лічильників (а - підсумовуючого; б - віднімального; в - реверсивного)

Реверсивні лічильники мають переходи в прямому і зворотному напрямках, що дозволяє рахувати підсумовуючі та віднімальні імпульси.У процесі лічби має виконуватися умова

еU+Nп і еU-,

де Nп - попередньо записане число. За поточним станом виходів лічильника визначається результат реверсивної лічби.

До часових характеристик лічильників відносяться роздільна здатність, швидкодія і час встановлення (перемикання) коду. Роздільна здатність tрз визначається мінімальним інтервалом часу між двома вхідними імпульсами, при якому ще зберігається працездатність лічильника. Параметр tрз задають часом перемикання tТ першого (молодшого) тригера лічильника, тобто tрз=tТ, оскільки він перемикається під дією кожного вхідного імпульсу. Час встановлення коду tвст відраховується від початку вхідного імпульсу до моменту отримання нового стану. Даний параметр дозволяє обчислювати швидкодію лічильника в режимі керування із співвідношення

Fm.к=1/(tвст + tзч),

де tзч - час зчитування інформації.

Міжрозрядні зв'язки забезпечують вироблення сигналів перенесення в старші розряди при додаванні імпульсів і сигналів позики - при відніманні. Від виду реалізації міжрозрядних зв'язків суттєво залежать параметри tвст і Fm.к.

Тригери. Найпростішими цифровими автоматами із пам'яттю є тригери. Тригер - це прилад послідовнісного типу з двома стійкими станами рівноваги, призначений для запису і зберігання інформації. Під дією вхідних сигналів тригер може переходити з одного стійкого стану в інший. При цьому напруга на його виході стрибкоподібно змінюється. Як правило, тригер має два виходи -прямий та інверсний. Число входів залежить від структури і функцій, що виконуються тригером. За способом запису інформації тригери поділяють на асинхронні і синхронізовані (тактовані). В асинхронних тригерах інформація може записуватися безперервно і визначається інформаційними сигналами, діючими на входах у даний момент часу. Якщо інформація заноситься в тригер тільки в момент дії так званого синхронізуючого сигналу, то такий тригер називають синхронізованим або тактованим. Окрім інформаційних входів, синхронізовані тригери мають тактовий вхід (вхід синхронізації).

В цифровій техніці прийняті такі позначення входів та виходів тригерів:

Q - прямий вихід тригера;

- інверсний вихід тригера;

S - роздільний вхід установки в одиничний стан (напруга високого рівня на прямому виході Q);

R - роздільний вхід установки в нульовий стан (напруга низького рівня на прямому виході Q);

D - інформаційний вхід (на нього подається інформація, призначена для занесення в тригер);

С - вхід синхронізації;

Т - лічильний вхід.

Найбільше розповсюдження в цифрових приладах отримали RS-тригер з двома установчими входами, тактований D-тригер і лічильний Т-тригер. Розглянемо функціональні можливості кожного з них. Асинхронний RS-тригер. В залежності від логічної структури розрізняють RS-тригери з прямими і інверсними входами.

Тригери такого типу побудовані на двох логічних елементах: 2АБО-НІ - тригер з прямими входами 2І-НІ - тригер з інверсними входами (рисунок 1.4). Вихід кожного з елементів під'єднаний до одного з входів іншого елемента, що забезпечує тригеру два стійких стани. Наведена таблиця 1.1 істинності для кожного з цих тригерів.

Рисунок 1.4 - Схеми та умовні позначення RS-тригерів

Таблиця 1.1 - Таблиці істинності асинхронних RS-тригерів

S

R

0

1

0

1

0

1

0

1

0

1

1

0

1

0

0

1

1

0

1

0

0

1

0

1

0

0

0

1

0

1

0

0

0

1

*

*

1

1

0

1

*

*

1

1

0

1

0

1

0

1

1

0

0

1

0

1

1

0

1

0

1

0

1

0

1

0

1

0

1

0

0

1

0

0

1

0

1

0

0

0

1

0

*

*

1

1

1

0

*

*

1

1

1

0

0

1

В таблиці 1 Qt і Q't позначають рівні, які були на виході тригера до подачі на його входи так званих активних рівнів. Активним називають логічний рівень, що діє на вході логічного елемента і однозначно визначає логічний рівень вихідного сигналу (незалежно від логічних рівнів, що діють на інших входах). Для елементів АБО-НІ за активний рівень приймають високий рівень, а для елементів І-НІ - низький рівень. Рівні, подача яких на один з входів не призводить до модифікації логічного рівня на виході елемента, називають пасивними. Рівні Qt+1 і Q't+1 позначають логічні рівні на виході тригера після подачі інформації на його входи. Для тригера з прямими входами Qt+1=1 при S=1 і R=0; Qt+1=0 при S=0 і R=1; Qt+1=Qt при S=0 і R=0. При R=S=1 стан тригера буде невизначеним (*), бо під час дії інформаційних сигналів логічні рівні на виході тригера однакові Qt+1=Q't+1, а після закінчення їхньої дії тригер може рівноймовірно прийняти будь-який із стійких станів. Тому така комбінація є забороненою.

Режим S=1, R=0 називають режимом запису 1 (бо Qt+1=1); режим S=0 і R=1 - режимом запису 0. Режим S=0, R=0 називається режимом зберігання інформації, бо інформація на виході залишається незмінною. Для тригера з інверсними входами режим запису логічної 1 реалізується при S=0, R=1, режим запису логічного 0 - при S=1, R=0. При S=R=1 забезпечується зберігання інформації. Комбінація S=R=0 є забороненою.

Тактовий D-тригер. Він має інформаційний вихід і вхід синхронізації. Одна із можливих структурних схем однотактного D-тригера і його умовне позначення наведені на рисунку 1.5.

Рисунок 1.5 - Схема та умовне позначення тактового D-тригера

Якщо рівень сигналу на вході С=0, стан тригера стійкий і не залежить від рівня сигналу на інформаційному вході. При цьому на входи RS-тригера з інверсними входами (елементи 3 і 4) надходять пасивні рівні (S=R=1). При подачі на вхід синхронізації рівня С=1 інформація на прямому виході буде повторювати інформацію, що подається на вхід D. Таким чином, при С=0.

Таблиця 1.2 - Таблиця істинності тактового D-тригера

D

0

0

0

0

1

0

1

0

1

1

1

1

Тут Qt означає логічний рівень на прямому виході до подачі імпульсу синхронізації, a Qt+1 - логічний рівень на цьому виході після подачі імпульсу синхронізації.

В такому тригері відбувається затримка сигналу на виході по відношенню до сигналу, поданого на вхід, під час паузи між синхросигналами. Для стійкої роботи тригера необхідно, щоб протягом синхроімпульса інформація на вході була незмінною. Тактові D-тригери можуть бути з потенційним і динамічним управлінням. У перших з них інформація записується протягом часу, при якому рівень сигналу С=1. В тригерах з динамічним управлінням інформація записується тільки протягом перепаду напруги на вході синхронізації. Динамічні входи зображають на схемах трикутником. Якщо верхівка трикутника звернута в сторону мікросхеми, то тригер «спрацьовує» по фронту вхідного імпульсу, якщо від неї - по зрізу імпульсу. В такому тригері інформація на виході може бути затримана на один такт по відношенню до вхідної інформації.

Лічильний Т-тригер (рисунок 1.6). Його називають також тригером зі лічильним входом. Він має один вхід керування Т і два виходи Q і Q'.

Інформація на виході такого тригера змінює свій знак на протилежний при кожному позитивному (або при кожному негативному) перепаді напруги на вході. В серії мікросхем, що випускаються, Т-тригерів, як правило, немає.

Рисунок 1.6 - Лічильний тригер

Але тригер такого типу може бути створений на базі тактового D-тригера, якщо його інверсний вихід з'єднати з інформаційним входом. Як видно з діаграми, частота сигналу на виході Т-тригера в два рази нижче частоти сигналу на вході, тому такий тригер можна використовувати як подільник частоти і двійковий лічильник. В серіях мікросхем, що випускаються, є також універсальні JK-тригери. При відповідному під'єднанні вхідної логіки JK-тригер може виконувати функції тригера будь-якого іншого типу.

K-тригери К176ТВ1, К561ТВ1, КР1561ТВ1 і D-тригери К176ТМ1, К176ТМ2, К561ТМ2 мають динамічні входи і можуть працювати в рахунковому режимі, тобто міняти свій стан на протилежний на кожен імпульс, що приходить на рахунковий вхід тригера. Тригери мікросхем К561ТР2, К561ТМЗ і 564УМ1 зі статичними входами можуть працювати тільки в режимах запису і зберігання записуваної в них інформації. Розглянемо більш докладно роботу мікросхем, що містять тригери.

Мікросхема К561ТР2 - чотири тригери RS-типу з можливістю переходу виходів у високо напружений стан. Кожен тригер мікросхеми має входи R і S. Подача логічної 1 на вхід R встановлює тригер в стан 0, подача логічної 1 на вхід S- у стан 1. Якщо логічну. 1 подати на обидва входи R і S, на виході буде також логічна 1.

Рисунок 1.7 - Графічні позначення тригерів

Мультиплексори. Мультиплексором називається функціональний вузол комп'ютера, призначений для почергової комутації (перемикання) інформації від одного з n входів на загальний вихід. Номер конкретної вхідної лінії, що підключається до виходу в кожний такт машинного часу, визначається адресним кодом А0, А1, ..., Аm-1. Зв'язок між числом інформаційних n і адресних m входів визначається співвідношенням n=2m. Умовне графічне позначення мультиплексорів показане на рисунку 1.8 Функція мультиплексорів записується буквами MUX (multiplexor). Мультиплексори застосовують для таких операцій: комутації як окремих ліній, так і груп.

Рисунок 1.8 - Схеми мультиплексорів

Рисунок 1.9 - Схеми мультиплексорів (а - з внутрішнім дешифратором; б - з адресними інтервалами)

При побудові схеми мультиплексорів з внутрішнім лінійним дешифратором потрібні логічні елементи з меншим числом входів, проте при цьому збільшується час встановлення вихідного сигналу. При виключенні дешифратора швидкодія підвищується, однак потрібні схеми збігу з більшим числом входів. В інтегральному виконанні мультиплексори випускають на чотири, вісім або шістнадцять входів. Каскадування дозволяє реалізувати комутацію довільного числа вхідних ліній на базі серійних мікросхем мультиплексорів меншої розрядності. Приклад побудови схеми мультиплексора на 16 входів на основі типових чотиривходових мультиплексорів показаний на рис. 1.10.

Рисунок 1.10 - Каскадування мультиплексорів

За допомогою мультиплексорів реалізуються логічні функції з числом змінних m, що дорівнює розрядності адресного коду. Функція, що виконується, має бути представлена в ДДНФ. При цьому змінні поступають на адресні входи, а інформаційні входи використовуються як настроювальні на них подаються константи нуля і одиниці залежно від функції, яка реалізується.

За допомогою додаткових логічних перетворень можна реалізувати логічні функції з числом змінних m+1, тобто на одиницю більше розрядності адресного коду мультиплексора. Можливі й інші схемотехнічні застосування мультиплексорів. Мультиплексор може виконувати функції перетворювача n-розрядного двійкового паралельного коду в послідовний. Для цього потрібно подати паралельний код на інформаційні входи мультиплексора і потім змінювати код на адреси в необхідній послідовності.

Демультиплексори. Демультиплексором називається функціональний вузол комп'ютера, призначений для комутації (перемикання) сигналу з одного інформаційного входу D на один з n інформаційних виходів. Номер виходу, на який в кожний такт машинного часу передається значення вхідного сигналу, визначається адресним кодом А0, А1, А2, ..., Аm-1. Адресні входи m та інформаційні виходи n пов'язані співвідношенням n=2m або m= log 2n.

Демультиплексор виконує функцію, обернену функції мультиплексора. Стосовно мультиплексорів і демультиплексорів користуються також терміном «селектори» даних.

Типове з'єднання з мультиплексором Демультиплексори використовують для таких операцій: комутації як окремих ліній, так і багаторозрядних шин; перетворення послідовного коду в паралельний реалізації логічних функцій та інших. Схема демультиплексора з поєднанням адресних і вхідних змінних забезпечує високу швидкодію, проте вимагає застосування логічних елементів з більшим числом входів.

Рисунок 1.11 - Умовні графічні позначення демультиплексорів (а - на функціональних схемах; б - на принципових схемах)

Каскадування дозволяє реалізувати комутацію одного вхідного сигналу на довільне число вихідних ліній на базі серійних мікросхем меншої розрядності. Нехай потрібно реалізувати демультиплексування вхідного сигналу на n вихідних ліній, що визначаються m-розрядним адресним кодом, на базі типових мікросхем меншої розмірності виду «1n».

Для цього потрібно використати L= n/n1 типових демультиплексорів з числом адресних входів m1 = log2 n1 кожен. Число старших адресних розрядів, що дорівнює різниці m-m1, використовується додатковим «ведучим» демультиплексором, який розташовується у першому рівні схеми каскадування.

Семисегментні індикатори. Семисегментний індикатор, складається з семи елементів індикації (сегментів), вмикати та вимикати окремо.

Включаючи їх в різних комбінаціях, з них можна скласти спрощені зображення арабських цифр. Часто семисегментний індикатори роблять в курсивне накреслення. Цифри, 6, 7 і 9 мають по два різних подання на семисегментного індикаторі. У ранніх калькуляторах Casio і Електроніка цифра 0 відображалася в нижній половині індикатора. Сегменти позначаються літерами від A до G; восьмий сегмент - десяткова точка, яка має назву DP (digital point), призначена для відображення дробових чисел. Зрідка на семисегментний індикатор відображають літери.

Світлодіоди відливають у формі сегментів, тому світлодіодні індикатори мають гранично просту, уніфіковану форму: чим менше різних світлодіодів, тим дешевше пристрій. У рідкокристалічних, газорязрядних, вакуумно-люмінесцентних (катодно-люмінесцентних) та інших індикаторах дизайнери знаходять місце для варіації форми сегментів.

Більшість однорозрядних семисегментних індикаторів влаштовані на світлодіодах, хоча існують і альтернативи лампи тліючого розряду, електровакуумні індикатори (катодолюмінесцентному, розжарюється), лампи розжарювання, рідкі кристали і т. д. На великих табло накшталт цін на бензин все ще застосовуються механічні індикатори, або клінкерні індикатори, перемикаються за допомогою електромагнітів.

Рисунок1.12 - Семисегментний індикатор

2 КОНСТРУКТОРСЬКА ЧАСТИНА

2.1 Обґрунтування вибору елементної бази

Існує багато технологій виготовлення мікросхем найпоширеніша з яких це КМОН (логіка на комплементарних парах структури метал-оксид-напівпровідник) і ТТЛ (транзисторно-транзисторна логіка).

Мікросхеми виготовлені за однією технологією об'єднуються в одну серію під спільною назвою чи номером. Серію утворюють мікросхеми різного функціонального призначення, які мають узгоджені електричні і часові параметри для спільного використання. Завдання на дипломне проектування передбачає для побудови пристрою мікросхеми серії К555 ТТЛ типу, виконані за біполярною технологією. Ці інтегральні мікросхеми містять , схожі на окремо виготовлені біполярні транзистори , діоди і резистори, при чому діоди виготовляють на основі транзисторів. Живлення мікросхем ТТЛ здійснюється від джерела постійної напруги 5В, струм залежно від призначення від10 до 100 мА. Напруга високого рівня (рівня логічної одиниці) становить 2.4В-до 5В, напруга низького рівня (логічного нуля) не менше 0,4В до 1,2В.

Максимальна робоча частота мікросхем ТТЛ серії К555 становить 100МГц. Для більшості логічних елементів ТТЛ серії 555 Кроз=20(коефіцієнт розгалуження)- показує кількість логічних елементів, аналогічних даному ,що можна під'єднати до виходу даного елемента не порушуючи його роботу.

Одним із основних параметрів мікросхеми є споживана ним потужність. Середня потужність базових елементів серії К555 становить 1,2мВт. У статичному і динамічному режимах оцінюють завадостійкість базових логічних елементів. При чому статична завадостійкість визначається рівнем напруги, яка подається на вхід елемента відносно рівнів логічних «0»та «1», при якій стан на виході схеми не змінюється. Для елементів ТТЛ Статична завадостійкість становить не менш як0,4В.

2.2 Розрахунок блоків схеми

2.2.1 Розрахунок блоку живлення

Для розрахунку струмів споживання, потрібно знати струми споживання кожної схеми. Нижче наведена таблиця даних щодо струмів споживання елементів розробленої схеми.

Таблиця 2.1 - Струми споживання конкретних мікросхем

Тип мікросхеми

Струм споживання ,м А

Кількість

КР555ЛН1

16

2

КР555ТМ2

22

3

КР555ЛИЗ

4

1

КР555ЛИ1

4

1

КР555ИЕ19

8

2

КР555ИП1

68

4

КР555ИЕ10

10

2

КР555ИД18

20

2

АЛС324А

280

2

Щоб обчислити загальний струм споживання даної схеми потрібно додати струми споживання кожної мікросхеми, яка використовується у даній мікросхемі певного виду. Отже загальний струм споживання обчислюється за такою формулою:

Іmax=0,16*2+0,22*3+0,004+0,08*2+0,68*4+0,1*2+0,28*2=4,15А

Р=4,15*5=20,075Вт.

Вибираємо потужність живлення Рmax=25Вт.Максимальний струм Іmax=5А.

2.2.2 Розрахунок генератора прямокутних імпульсів

Частота імпульсів тактового генератора згідно технічного завдання на курсовий проект становить 800 Гц. Для реалізації схеми генератора з такою частою потрібно задати параметри елементів. Опір резистора в цій схемі становить R=1кОм, потрібно знайти ємність конденсатора при відомій вихідній частоті та опорі резистора Т=1,4RC;

; T=

C= - ємність конденсатора

2.3 Вибір мікросхем

КР555ИЕ19. Мікросхема представляє собою два чотирьох розрядні щотчика з індивідуальною синхронізацією і скиданням. Складається більше ніж з 307 інтегральних елементів. Корпус типу 201.14-1, маса не більше 1г. Лічильник побудований на дворівневих RS- тригерах з тактовою частотним входом. На виході R (синхронного скидання) поступає імпульс, який виробляється двух вхідним елементом. Входи R і S з активним низьким рівнем. Тактовий вхід С являється запускаючим при негативнім перепаді сигналу. Для забезпечення необхідного сигналу на перемикання лічильника організовані зворотні зв'язки, схема затримки. В кожному розряді лічильника стоять вихідні буферні інвектори.

Рисунок 2.1 - Умовне графічне позначення КР555ИЕ19

Призначення виводів: 1 - вхід тактовий С; 2 - вхід «скидання» R; 3 - вихід Q1; 4 - вихід Q2; 5 - вихід Q4; 6 - вихід Q8; 7 - загальний; 8 - вихід Q4; 10 - вихід Q2; 11 - вхід Q1; 12 - вхід»скидання» R; 13 - тактовий вхід С; 14 - напруга живлення.

КР555ИП3. Мікросхема представляє собою арифметично-логічний пристрій . Складається з 423 інтегральних елементів. Корпус типу 239.24-1 маса 6г.

Рисунок 2.2 - Умовне графічне позначення КР555ИП3

Призначення виводів: 1 - інформаційний вхід В0 (молодший розряд); 2 - інформаційний вхід А0 (молодший розряд); 3 - вхід «вибір функції» SED3 (старший розряд); 4 - вхід «вибір функції» SED2; вхід - «вибір функції» SED1; 6 - вхід «вибір функції» SED0 (молодший розряд); 7 - вхід перенос CR; 8 - вхід режим роботи М; 9 - вихід «створення функції» F2; 13 - вихід «створення функції»; 14 - F3 вхід порівняння; 15 - вихід переносу Р; 16 - вихід перенос CR; 17 - вихід «створення переносу»; 18 - інформаційний вхід В3; 19 - інформаційний вхід А2 або А3; 20 - інформаційний вхід В2; 21 - інформаційний вхід А2; 22 - інформаційний вхід В1; 23 - інформаційний вхід А1 або А3; 24 - напруга живлення.

КР555ИЕ10. Мікросхема представляє собою двійковий синхронний чотирьох розрядний щотчик. Складається з 368 інтегральних елементів. Корпус типу 238.16-2, маса не більше 1,2 г.

Призначення виводів: 1 - вхід встановлення «0» R; 2 - вхід синхронізації С; 3 - інформаційний вхід D1; 4 - інформаційний вхід D2; 5 - інформаційний вхід D3; 6 - інформаційний вхід D4; 7 - вхід дозволу рахункуV1; 8 - загальний; 9 - вхід дозволу запису V2; 10 - вхід дозволу переносу Р1; 11 - вихід четвертого розряду Q4; 12 - вихід третього розряду Q3; 13 - вихід другого розряду Q2; 14 - вихід п'ятого розряду Q5; 15 - вихід першого розряду Q1; 15 - вхід переносу Р2; 16 - напруга живлення.

Рисунок 2.3 - Умовне графічне позначення КР555ИЕ10

КР555ИД18. Мікросхема представляє собою дешифратор двійково-десяткового кода в семи сегментний. Складається з 230 інтегральних елементів. Корпус типу 238.16-2, маса 1,2 г. Призначення виводів: 1 - вхід V2; 2 - вхід V; 3 - вхід LT; 4 - вхід ВІ/вихід RBO; 5 - вхід RB0; 6 - вхід V8; 7 - вхід V1; 8 - загальний; 9 - вихід e; 10 - вихід d; 11 - вихід c; 12 - вихід d; 13 - вихід a; 14 - вихід g; 15 - вихід f; 16 - напруга живлення.

Рисунок 2.4 - Умовне графічне позначення КР555ИД18

КР555ЛИ1. Мікросхема представляє собою чотири логічні елементи 2І. Складається з 80 інтегральних елементів. Корпус типу 201.14-1, маса не більше 1 г. Призначення виводів: 1 - вхід Х1; 2 - вхід Х2; 3 - вхід Y1; 4 - вхід X3; 5 - вихід Х4; 6 - вихід Y2; 7 - загальний; 8 - вихід Y3; 9 - вихід Х5; 10 - вихід Х6; 11 - вихід Y4; 12 - вихід X7; 13 - вхід X8; 14 - напруга живлення.

Рисунок 2.5 - Умовне графічне позначення КР555ЛИ1

КР555ЛИ3. Мікросхема представляє собою три логічні елементи. Має в своєму складі 63 інтегральних елементи .Корпус типу 201 маса не більше 1 г. Призначення виводів: 1 - вхід Х1; 2 - вхід Х2; 3 - вхід Х4; 4 - вхід X5; 5 - вихід Х6; 6 - вихід Y2; 7 - загальний; 8 - вихід Y3; 9 - вихід Х7; 10 - вихід Х8; 11 - вихід Х9; 12 - вихід Y1; 13 - вхід X3; 14 - напруга живлення.

Рисунок 2.6 - Умовне графічне позначення КР555ЛИ3

КР555ЛН1. Мікросхема представляє собою шість логічних елементів НЕ. Має в своєму складі 84 інтегральні елементи. Корпус типу 201.14-1, маса 1 г. Призначення виводів: 1 - вхід Х1; 2 - вихід Y2; 3 - вхід Х2; 4 - вхід Y2; 5 - вхід Х3; 6 - вихід Y3; 7 - загальний; 8 - вихід Y4; 9 - вихід Х4; 10 - вихід Y5; 11 - вихід Х5; 12 - вихід Y6; 13 - вхід X6; 14 - напруга живлення.

Рисунок 2.7 - Умовне графічне позначення КР555ЛН1

КР555ТМ2. Мікросхема представляє собою два D-тригери. Включає в себе 122 інтегральних елементи. Корпус типу 201.14-1,маса не більше 2.3 г. Призначення виводів: 1 - вхід R; 2 - вхід D; 3 - вхід синхронізації C4; 4 - вхід S; 5 - вихід Y1; 6 - вихід Y1 (інверсійний); 7 - загальний; 8 - вихідY2; 9 - вихід Y2; 10 - вхід S; 11 - вихід синхронізації; 12 - вхід D; 13 - вхід R; 14 - напруга живлення.

Размещено на http://www.allbest.ru/

Рисунок 2.8 - Умовне графічне позначення КР555ТМ2

АЛС324А. Індикатори світлові-синтезуючі, на основі з'єднання арсенід-фосфід-галій. Призначені для візуальної індикації. Індикатори мають сім сегментів і децимальний точку, що випромінюють світло при дії прямого струму.

Різні комбінації елементів, що забезпечуються зовнішньої комутацією, дозволяють відтворити цифри від 0 до 9 і децимальний крапку. Випускаються в пластмасовому корпусі. У індикаторів АЛС324 Аелементи мають загальний катод, у АЛС324Б-загальний анод. Висота знака 7,5 мм. Маса приладу не більше 2 м.

Електричні і світлові параметри:

- колір світіння - червоний;

- сила світла при Іпр =20 мА через елемент, не менше;

- сегмента - 0,15 мкд;

- децимальний точки - 0,05 мкд;

- відносна нерівномірність сили світла між елементами, не більше3;

- довжина хвилі випромінювання в максимумі спектральної щільності 650 нм;

- постійне пряме напруга на елементі при Іпр = 20 мА, не більше 2,5 В.

2.4 Опис роботи електричної принципової схеми

Розробка схеми генератора часових послідовностей розпочинає свою роботу з надходження на вхід XS1 імпульсів. Оскільки в початковий момент часу на другому вході ключа виконаного на логічному елементі, встановлено рівень логічного нуля то вхідні імпульси не надходять на лічильник і схема не працює. Після натискання кнопки «СТАРТ» на вході дозволу кюча DD4.1 через тригерDD2.1 та DD2.2 поступає високий потенціал, ключ DD4.1 відкривається івхідні імпульси з виходу XS1 поступають на двійковий лічильник DD5.1.

Тригер DD2.1 та DD2.2 введені всхему з метою забезпечення утримання на вході ключа DD4.1 високого потенціалу навіть при відпусканні кнопки «СТАРТ». Робота тригера полягає в тому, що при натисканні клавіші «СТАРТ» на вході встановлення S першого RS-тригера DD2.1 встановлюється рівень логічного нуля, а оскільки вибрані тригери реагують саме на низький потенціал, то це приводить, до того, що на виходіDD2.1 встановлюється рівень логічної одиниці, відповідно на його інверсному виході-рівень логічного нуля. Сигнал з інверсивного виходу DD2.1 поступає на вхід встановлення такого ж тригера DD2.2 і переводить його вихід також в стан логічної одиниці.

З виходу DD2.2 високий потенціал поступає на вхід ключа DD4.1 відкриваючи його. При відпусканні кнопки «СТАРТ» на вході S першого RS-тригера DD2.1 встановлюється рівень логічної одиниці відповідно на його інверсійному виході за рахунок резистора R1 з'єднаного з джерелом живлення .Такий само сигнал поступає і на вхід R цього ж тригера за рахунок резистора R2. При такій комбінації вхідних сигналів вибраний RS- тригер перебуває у режимі зберігання інформації, тобто на його виході буде утримуватись високий потенціал, а ключ DD4.1 залишатиметься відкритим.

Робота першого лічильника DD5.1 та DD7. Вхідні імпульси з виходу XS1 через відкритий ключ DD4.1 поступають на лічильний вхід двійкового лічильника DD5.1. Виходи лічильника з'єднані з адресними входами мультиплексора DD7. Усі крім одного інформаційні входи мультиплексора зєднані з шиною землі, тобто на них встановлено рівень лог нуля. Тільки на другому вході встановлено високий потенціал-рівень логічної одиниці. Підраховуючи вхідні імпульси лічильник DD5.1 формує на своїх виводах, а отже і на адресних входах мультиплексора почергово двійкові коди чисел від 0 до 10.

Старший розряд лічильника під'єднано до входу вибору мікросхеми мультиплексора. Таким чином після 9-го імпульсу мультиплексор вмикається і відповідно до кодів, що поступили з лічильника мультиплексором підключає на свій вихід почергово інформаційні входи від 0 до 2. При підключенні входів від 0-го до 1-го на вхід мультиплексора передається значення логічного нуля встановленого на цих виводах, але оскільки вихід мультиплексора інвертований, то на виході схеми встановлюється високий потенціал. При такому стані виходу мультиплексора під'єднаний до нього тригер DD10.1 не змінює стану, тобто на його виходи встановлено рівень логічного нуля-низький потенціалу.

При надходженні 9-ого імпульсу на виході лічильниа DD5.1 встановлються код 1010 який зєднує 2-ий вхід мультиплексора з його виходом. Оскільки на цьому вході високий потенціал то він інвертує і на виході мультиплексора встановлюється низька напруга.

Це викликає ряд змін в роботі системи:

- цей сигнал поступає на вхід тригера DD2.2 і переводить його в стан логічного нуля. При цьому низький потенціал з виходу тригера поступає на вхід ключа DD4.1 і закриває його. Вхідні імпульси перестають надходити на лічильник DD5.1 і він зупиняє рахунок;

- цей сигнал поступає на формувач короткого імпульсу зібраного на елементах DD1.4, DD1.5, DD1.6, DD4.2 формуючи на його виході короткочасний прямокутний імпульс який використовується для підрахунку кількості запускаючих пакетів;

- сигнал поступає на входи встановлення тригерів DD10.1; DD10.2 формуючи на їх виходах високі потенціали які виступають в якості відкриваючих сигналів для ключів DD3.1 DD3.2. відповідно.

Ключ DD3.1 призначений для керування подачею імпульсів від тактового генератора на DD1.1, DD1.2, DD1.3. Ключ відкривається двома сигналами: перший надходить з виходу тригера DD10.2, а другий формується на виході мультиплексора DD8 і початковий момент рівний логічній одиниці, оскільки вихід мультиплексора підключено до 0-го виходу який зєднано шиною землі. Надходження високого потенцілу з виходу тригера DD10.2 приводить до відкривання ключа надходження імпульсів тактового генератора на вхід лічильник DD5.2, а також початок формування заданої послідовності імпульсі Y1 яка формується на виході елементу DD3.1. Лічильник DD5.2 в парі з мультиплексором DD8 формують на виході мультиплексорові значення яке контролює утримання в відкритому стані ключа DD3.1. Коли лічильник дораховує до 12 в мультиплексорі до виходу підключається відповідний вхід до виходу і на виході встановлюється рівень низької напруги. Це приводить до закривання ключа DD3.1. В результаті чого імпульси генератора перестають надходити на вхід лічильника DD8 він зупиняє рахунок, це запобігає повторному відкриванню ключа, оскільки на виході мультиплексора утримується низький потенціалю.

Крім того закривання ключа DD3.1 призводить до завершення формування вихідного сигналу Y1. Одночасно з ключем DD3.1 відкривається ключ DD3.2 який керує парою лічильник DD.6.1 та мультиплексором DD9. Ця пара призначена для формування затримки між почактом вихідної послідовності Y1 та початком прямокутного імпульсу Y2.Після відкривання ключа DD3.2 і початку рахунку надходження 4-ого імпульсу приводить до встановлення на виході мультиплексора рівня логічного нуля. При цьому тригер DD17.1 встановлює одиницю формуючи цим самим передній фронт вхідного імпульсу Y2. Крім того сигнал з виходу мультиплексора DD9 поступає після інвертування наDD11.1 у вигляді логічної одиниці на вхід ключа DD3.3 і відкриває його при цьому імпульси від генератора поступають на вхід лічильника DD6.2. Цей лічильник в парі з мультиплексором задає тривалість імпульсу Y2. Після надходження16-ого імпульсу встановлюється на виході мультиплексора рівень логічного нуля. Цей сигнал поступає на вхід R-обнулення тригера DD17.1 і призводить до скидання тригера в нульвий стан формуючи цим самим задній фронт вхідного імпульсу Y2. Таким чином задані сигнали сформвані.

Лічильник DD13 і DD14 отримує на вхід один короткий імпульс від формувача коротких імпульсів і підраховує його встановлюючи на виході відповідний двійковий код. Подача вхідного імпульсу на вхід CU забезпечує рахунок лічильника в прямому напрямку.

Для збільшення модуля рахунку лічильника вихід переносу прямого рахунку РU лічильника DD13 підключено до лічильного входу прямого рахунку CU лічильника DD14. Сформований двійковий код з виходів лічильника поступає на входи дешифраторів DD15 та DD16, де він перетворюється в код семисегментного індикатора і передається на індикатори HL1,HL2. Для забезпечення узгодження рівнів вихідного сигналу дешифратора з входом індикатора використовують резистори R4-R17.Таким чином на індикаторі формується інформація про кількість запускаючих пакетів в десятковому вигляді. На цьому робота усієї схеми закінчується до наступного натискання кнопки «СТАРТ» при цьому на входи скидання лічильників DD2.2, DD2.2, DD2.2 та DD2.2 а також тригерів DD2.2 та DD2.2 поступає рівень логічного нуля який переведе їх в початковий стан.

2.5 Дослідження схеми на симуляторі EWB

Для реалізацїі роботи пристрою була використана програма Elektronisc Wordenhc у якійпредставлено віртуальну схем пристрою у функціональному вигляді. EWB представляє собою симулятор роботи різних електричних елементів які можна обєднувати в віртуальні схеми. У цій програмі я використав віртуальні елементи з певними параметрами і обєдав їх в працюючу схему яка виконує задну функцію для відображення роботи пристрою і дослідженні вихідних імпульсів використовується віртуальний пристрій Logik Analuzer.

ВИСНОВОК

Цей курсовий проект є загальним завершенням курсу вивчення предмету цифрові пристрої та МП на даній спеціальності, який включає всебе вивчення принципу роботи цифрових пристроїв та їхню будову.

Завданням курсового проєкту була розробка керованого генератора часових послідовностей з певним принципом побудови та функціонуванням.

Спираючись на свої знання набуті протягом вивчення предмету я розробив діючу схему цьго пристрою в програмі EWB, описав її роботу вцілому та роботу основних функціональних блоків які запезпечили виконанння поставленого завдання. Розроблений керований генератор часових послідовностей обраховує деякі комбінації заданих послідовностей імпульсів переводячи їх за допомогою індикаторів у числові значення, сигнали з генератора запускають роботу усьго пристрою і в результаті багаторазового перетворення у різних елементах схеми відбувається процес поетапного запуску рахуючих елементів та їхню зупинка.

ПЕРЕЛІК ВИКОРИСТАНИХ ДЖЕРЕЛ

1. Поджаренко В.О., Кучерук В.Ю., Марущак В.Ю. Основи цифрової техніки.

2. Хаімзон І.Я. Техніка передачі інформації.

3. Функціональні вузли та схеми. Частина ІІ.

4. Борисов Віктор Гаврилович Юний радиоаматор. - М.: Радио и связь, 1986. - 440 с.

5. Башло Н.П. Радіотєхніка для студєнтов вузов. - Ростов-н/Д: Феникс, 2004.

6. Гаханян Татевос Мамиконович Интегральные микросхемы. - М.: Энергоатомиздат, 1983. - 464 с.

7. Михайлович. Цифрові вимірювання частотно-часовихпараметрів сигналів. - Львів: «Вища школа», 1978. - 168 с.

8. Айлиф Дж. Принципы построения базовой машины. - М.: «Мир», 1973. - 119 с.

Размещено на Allbest.ru


Подобные документы

  • Розрахунок схеми керованого випрямляча, основних його параметрів, обґрунтування вибору елементів. Проектування системи імпульсно-фазового керування. Розробка захисту пристрою від аварійних режимів з урахуванням коливання величини живлячої напруги.

    курсовая работа [2,9 M], добавлен 02.04.2010

  • Обґрунтування й вибір функціональної схеми генератора коливань. Вибір і розрахунок принципових схем його вузлів. Моделювання роботи функціональних вузлів електронного пристрою на ЕОМ. Відповідність характеристик і параметрів пристрою технічним вимогам.

    курсовая работа [79,7 K], добавлен 15.12.2010

  • Місце та основні характеристики пристрою в архітектурі мікропоцесорної системи. Розробка схеми електричної принципової малогабаритного двохпроменевого осцилографу-мультиметру. Схема електричної принципової електричного дзвоника. Принцип роботи пристрою.

    курсовая работа [1,3 M], добавлен 20.03.2009

  • Загальна характеристика принципу роботи електронного замка. Написання коду програми, який забезпечить працездатність пристрою й подальшу його експлуатацію. Розробка принципової схеми і друкованої плати, системи керування створеним електронним замком.

    дипломная работа [1,1 M], добавлен 03.05.2015

  • Розробка структурної, функціональної та принципової електричної схеми каналу послідовної передачі даних. Моделювання каналу послідовної передачі даних. Розрахунок параметрів і часових характеристик каналу, токів і потужності та надійності пристрою.

    курсовая работа [208,4 K], добавлен 20.01.2009

  • Розробка структурної схеми пристрою. Підсилювач високої частоти. Амплітудний детектор. Розробка схеми електричної принципової. Розрахунок вхідного кола приймача з ємнісним зв’язком з антеною. Еквівалентна добротність контуру на середній частоті.

    контрольная работа [169,8 K], добавлен 16.01.2014

  • Аналіз електричної схеми мікшера. Опис функціональної, структурної та електричної принципіальної схеми пристрою. Розробка та обґрунтування конструкції пристрою. Розрахунок віброміцності та удароміцності друкованої плати. Аналіз технологічності пристрою.

    дипломная работа [2,3 M], добавлен 12.12.2010

  • Проектування керованого трифазного випрямляча електричного струму, його силової частини. Розробка схеми імпульсно-фазового керування: розрахунок вихідного каскаду, фазозсувного ланцюга, генератора напруги, компаратора, диференціюючої ланки, одновібратора.

    курсовая работа [166,1 K], добавлен 22.12.2010

  • Розрахунок основних параметрів випрямляча в керованому режимі. Вибір захисту тиристорів від перевантажень за струмом та напругою. Вибір схеми та розрахунок параметрів джерела живлення, вхідного кола генератора пилкоподібної напруги та пускових імпульсів.

    курсовая работа [817,0 K], добавлен 30.03.2011

  • Класифікація, характеристики та умови експлуатації підсилювачів. Галузь використання приладу і ціль. Аналіз структурної та електричної принципової схеми та принцип роботи. Тепловий розрахунок пристрою. Розробка топології та компонування друкованої плати.

    курсовая работа [1,2 M], добавлен 10.01.2015

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.