Синтез цифрового автомата, определяющего заданную двоичную последовательность

Понятие о цифровом (дискретном) автомате - устройстве, служащем для преобразования дискретной информации. Алгоритм функционирования цифрового автомата. Кодирование состояний, граф, структурная схема управляющего устройства. ЭВМ, как цифровой автомат.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык русский
Дата добавления 25.02.2012
Размер файла 91,9 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

1. Введение

Понятие о цифровом (дискретном) автомате.

Дискретными автоматами принято называть устройства, служащие для преобразования дискретной информации. В современных цифровых автоматах принято обычно отождествлять буквы используемого стандартного алфавита с цифрами той или иной системы счисления (чаще всего двоичной или десятичной). Поэтому дискретные автоматы принято также называть цифровыми автоматами.

Основным качеством, выделяющим дискретные автоматы из числа всех других преобразователей информации, является наличие дискретного (при этом реальных автоматах всегда конечного) множества внутренних состояний и свойства скачкообразного перехода автомата из одного состояния в другое. Скачкообразность перехода означает возможность трактовать этот переход как мгновенный, причем как такой, который совершается непосредственно, минуя какие-либо промежуточные состояния.

Изменения состояний цифрового автомата называются входными сигналами, возникающими вне автомата и передающимися в автомат по конечному числу входных каналов.

Результатом работы цифрового автомата является выдача выходных сигналов, передаваемых из автомата во внешние цепи по конечному числу выходных каналов.

Цифровой автомата (первого или второго рода) называется правильным, если выходной сигнал y(t) определяется одним лишь его состоянием (a(t-1) или a(t)) и не зависит явно от входного сигнала x(t). Автоматы первого рода обычно также называют автоматами Мили, по имени американского ученого, который впервые начал их систематическое изучение. Особый интерес на практике имеют правильные автоматы второго рода, известные обычно под более кратким названием автоматов Мура.

Основные понятия алгебры логики.

Понятие цифрового автомата было введено как модель для описания функционирования устройств, предназначенных для переработки цифровой или дискретной информации.

Для формального описания цифровых автоматов применяется аппарат алгебры логики, созданной английским математиком Дж. Булем (1815-1864). Поэтому алгебру логики называют алгеброй Буля или булевой алгеброй.

В алгебре логики применительно к описанию цифровых автоматов, работающих в двоичном представлении кодов (или цифровой информации) основными понятиями являются логическая (булева) переменная и логическая функция (функция алгебры логики - ФАЛ).

Особенностью цифрового автомата является зависимость оператора преобразования А от предыдущих состояний кодопреобразователя, то есть наличие памяти у цифрового автомата. В частном случае отсутствия памяти у цифрового автомата, он является логической схемой. Таким образом, предметами исследования в теории цифровых автоматов являются как собственно цифровые автоматы (системы с памятью), так и автоматы без памяти или логические схемы.

Наиболее разработана теория цифровых автоматов применительно к канонической структуре цифрового автомата.

По структурной схеме цифрового автомата видно, что входные коды входной и выходной комбинационных схем получаются в результате конкатенации (объединения) входного кода и кода состояния памяти цифрового автомата.

Для однозначного управления цифровым автоматом необходимо, чтобы он начинал работу с определённого начального состояния. Автомат является конечным, если А, X и Y не являются бесконечными множествами. Теоретически все элементы множеств А, X, Y могут быть закодированы числами в системе счисления с любым основанием, но на практике всегда используется двоичная система счисления. Согласно структурной схеме, коды наборов переменных комбинационных схем определяются в результате конкатенации кодов входных сигналов и кодов состояний блока памяти. Как наборы входных переменных, так и коды состояний блока памяти в общем случае содержат запрещённые комбинации, поэтому системы функций алгебры логики, описывающие комбинационные схемы, не будут полностью определёнными.

Часто на практике используется две разновидности цифровых автоматов, отличающихся способом формирования выходных сигналов:

- при описании функционирования автомата выражениями:

a(t+l) = 5[a(t),z(t)],

w(t) = l[a(t), z(t)] - он называется автоматом Мили;

- при описании функционирования автомата выражениями:

a(t+1) = d[a(t),z(t)],

w(t) = l[а(t)] - он называется автоматом Мура.

В этих выражениях t - текущий момент дискретного автоматного времени, t+1 -следующий момент дискретного автоматного времени.

2. Структурная схема цифрового автомата

ЦА представляет собой последовательную схему и служит для обработки дискретной информации структурная схема ЦА представлена на рис 1.

В операционном устройстве выполняются арифметические и логические операции, в качестве узлов в состав операционного устройства входят: регистры, счетчики, сумматоры, дешифраторы и др. Управляющие устройства координируют действия узлов операционного устройства, оно определенной временной последовательности вырабатывает управляющие сигналы под действием которых в узлах операционного устройства выполняются требуемые функции.

Процессорное устройство описывается множеством входных сигналов являющихся исходными данными. Множеством результатов Z1-Zm, управляющее устройство вырабатывает множество управляющих сигналов y1-yn, операционное устройство вырабатывает множество признаков X1-Xs, которые позволяют изменить последовательность выполненных микрокоманд. На последовательность выполнения микрокоманд так же влияют внешние признаки Xs+1-XL.

3. Алгоритм функционирования цифрового автомата

В состав процессорного устройства входят регистры, счетчики и дешифратор. Пусть регистр Р1 хранит число А. В регистр Р2 поочередно заносятся элементы проверяемого массива, счетчик 1 служит для подсчета числа циклов. Счетчик 2 служит для подсчета числа элементов =А. Дешифратор используется для формирования признака х. Алгоритм функционирования автомата в микрооперациях представлен на рис.2

Под действием управляющего сигнала y1 в регистр Р1 записывается проверяемое число х. Под действием управляющего сигнала y2 в регистр R2 записывается число B. Под действием управляющего сигнала y3 в регистре R3 записываются число А ив сумматоре 1 сравнивается числа Аи х. На выходе переноса сумматора вырабатывается признак х. Если х<А то признак х=1 и выполняется переход на формирование управляющего сигнала y5, если наоборот то х=0 и выполняется переход на формирование управляющего импульса у4. Под действием управляющего сигнала y5 в сумматоре 2 должен быть организован режим сложения и в нем вычисляется х+В. Под действием управляющего сигнала у4 в сумматоре должен быть организован режим вычитания и вычисляется х-В. Под действием управляющего сигнала у6 результат полученный в сумматоре 2 записывается в регистр R4.

4. Синтез цифрового автомата

а) Алгоритм функционирования цифрового автомата в микрокомандах

Алгоритм функционирования цифрового автомата в микрокомандах представлен на рис.4

Микрокоманды Y можно объединить управляющие сигналы y, выполняемые в различных несвязанных между собой блоках или управляющие сигналы y, последовательность которых в процессе выполнения алгоритма не изменяется.

Микрокоманда Y1 включает управляющие сигналы y1, y2 и у3;микрокоманда Y2 включает управляющие сигнал y4; Y3 - y5; Y4 - y6.

а0 - начало/конец алгоритма;

а1-а4 - операторные блоки.

б) Граф функционирования цифрового автомата

Графами называют взаимосвязь двух множеств состоящих из множества вершин и множества рёбер, индуцируемых (связанных) между собой.

Полный граф - это граф, не имеющий петель, кратности ребер, и все его вершины связаны между собой.

Неориентированный граф - граф, не имеющий указания направлений ребер, при переходе из одной вершины в другую.

Ориентированный (полный) граф - граф с ребрами, указывающими конкретное направление при переходе из одной вершины в другую.

Граф-дерево - это слабосвязанный граф, у которого если удалить одно ребро, то он распадается на два графа.

Граф функционирование цифрового автомата представлен на рис.5. Он отражает возможные переходы цифрового автомата.

В узлах графа записываются состояния автомата, стрелками показаны возможные переходы. Над стрелками указаны условия перехода. Выделенные стрелки соответствуют безусловным переходам.

Из состояния а0 осуществляется безусловный переход в состояние а1, при этом выполняется микрокоманда Y1.

Из состояния а1 при условии х выполняется переход в а3, а при осуществляется переход в состояние а2

Из состояния а2, и а3 осуществляются безусловные переходы в состояние а4

Из а4 выполняется безусловный переход в а0.

Теория графов имеет большие приложения, так как язык теории, с одной стороны, очевиден, а, с другой стороны, удобен в нормальном исследовании. При полном изображении графа не все детали рисунка имеют одинаковое значение, а именно геометрические свойства рёбер (кривизна, длина и т.д.) и расположение вершин на плоскости относительно друг друга.

Две вершины графа автомата ат и as (исходное состояние и состояние перехода) соединяются дугой (ребром), направленной от ат в as. Дуге (ат, as) графа автомата приписывается входной сигнал х и выходной сигнал у, если он определён, и, в противном случае, ставится прочерк. Если переход автомата из состояния ат в состояние as происходит под действием нескольких входных сигналов, то дуге (am, as) приписываются все эти входные и соответствующие выходные сигналы.

При описании автомата Мура в виде графа выходной сигнал y записывается внутри вершины ат или рядом с ней, а входной сигнал х над дугой (ребром), демонстрирующей переход из одного состояния в другое.

При описании автомата Мили в виде графа внутри вершины записывается состояние, в которое переходит автомат, а над дугой (ребром), демонстрирующей переход из одного состояния автомата в другое, записывается дробь, в числителе которой указывается входной сигнал, а в знаменателе - выходной сигнал.

Для задания функций переходов и выходов построим граф-дерево автомата Мура, а затем автомата Мили. При использовании табличного описания автомата Мура таблицы переходов автоматов Мили и Мура совпадут, а таблица выходов автомата Мили получится из таблицы переходов заменой as символом выходного сигнала.

В технических целях используются только детерминированные цифровые автоматы, в которых выполнено условие однозначности переходов: - автомат, находящийся в некотором состоянии, под действием любого входного сигнала не может перейти более чем в одно состояние. Применительно к табличному способу задания описания автоматов это означает, что в клетках переходов/выходов указывается только по одному состоянию/выходному сигналу. Применительно к графическому способу задания описания автоматов это означает, что в графе автомата из любой вершины не могут выходить две или более дуги, отмеченные одним и тем же входным сигналом.

Устойчивым состоянием автомата называется такое состояние, что для любого х, d(am, x) = as, имеет место d(as, x) = as. Это значит, что если автомат перешёл в некоторое состояние х, то выйти из этого состояния может только под действием другого сигнала.

Синхронным называется автомат, если он не является асинхронным и каждое его состояние устойчиво. Если для некоторой пары (am, zf) выходной сигнал автомата не определён, то для этой пары не определяется и функция перехода, так как не определено допустимое слово, осуществляющее переход из этого состояния.

в) Кодирование состояний

Для кодирования состояния автоматов используются RS-триггеры.

Необходимое количество триггеров (n) выбирается из соотношения 2n N, где N - количество состояния автоматов. Для N = 5, n = 3.

Комбинационная схема с обратными связями, имеющая два устойчивых состояния и предназначенная для хранения одного бита информации, называется элементарным автоматом или триггером.

Для синтеза цифровых автоматов триггеры рассматриваются как элементы систем, и важным является изучение его поведения в системе, а не внутренняя структура или принципиальная схема. В этом состоит системотехнический подход к изучению триггеров различных типов.

Триггер типа RS. Название триггера происходит от английских слов set и reset, он имеет два входа - S для установки триггера в единицу и R для установки его в ноль. Как правило, он имеет два выхода: прямой и инверсный. Если для перевода триггера из одного состояния в другое на установочные входы необходимо подавать не логические единица, а нули, то такой триггер называется триггером с инверсным управлением.

Триггер типа JK. Триггер типа JK работает также как и триггер RS, с той лишь разницей, что допустима одновременная подача сигналов J=K=1, которая изменяет его состояние на обратное. Вход K эквивалентен входу R, а вход J - входу S.

Триггер типа D. Название триггера происходит от английского слова «задержка» (delay). Триггер имеет один вход. На выходе он должен повторять сигнал, существовавший на своем входе в предыдущий такт: D-триггеры всегда выпускаются синхронными, так как асинхронный триггер работает просто как повторитель входных сигналов.

Триггер типа T. триггеры этого типа выпускаются промышленностью как самостоятельные устройства. Они могут быть собраны из триггеров других типов ; логическая единица, приложенная к T-входу триггера, меняет его состояние на обратное.

Триггер типа RST. Это счетный триггер с двумя установочными входами. Многовходовый триггер в цифровом автомате позволяет упростить его структуру.

Поскольку в пределах периода синхроимпульсов входной сигнал появляется в произвольный момент времени, то на выход входной сигнал проходит с произвольной задержкой, не превышающей длительность периода синхросигнала.

г) Структурная схема управляющего устройства

Структурная схема УУ состоит из трех RS-триггеров, дешифратора, комбинационного узла.

Триггеры служат для кодирования состояний автомата. Дешифратор преобразует двоичные коды в активный логический уровень на одном из своих выходов, номер которого соответствует состоянию автомата.

Комбинационный узел служит для формирования выходных сигналов и сигналов управления триггерами.

Структурный синтез цифрового автомата - это кодирование его входных и переменных и состояний автомата и получение функции возбуждения и функций выходов триггера.

Задачей этапа структурного синтеза является построение принципиальной схемы автомата из элементарных автоматов заданного типа. Элементарные автоматы подразделяются на два больших класса:

- элементарные автоматы памяти (запоминающие элементы);

- элементарные автоматы без памяти (элементарные комбинационные схемы или логические элементы).

Задача синтеза цифрового автомата имеет решение в том случае, если система элементарных автоматов является структурно полной.

Всякая система элементарных автоматов, содержащая элементарный автомат, Мура (триггер) и какую-нибудь функционально полную систему логических элементов является структурно полной системой.

Если автомат имеет М состояний, то для двоичного структурного алфавита количество триггеров в блоке памяти этого автомата

N = ]log2M[ (1)

где ]...[- ближайшее большее целое число.

Если в каждую клетку таблицы переходов и выходов записать двоичный код, соответствующий размещённым там состояниям или выходным сигналам цифрового автомата, то таким образом получаются кодированные таблицы переходов и выходов.

Кодированная таблица выходов является табличным описанием системы булевых функций, реализуемых схемой КСВЫХ. Кодированная таблица переходов только после переработки с использованием матрицы переходов для заданного типа триггеров будет называться кодированной таблицей возбуждений и соответствовать описанию комбинационной схемы КСВХ.

Таким образом, задача синтеза состоит в определении по таблицам функций выхода и функций возбуждения триггеров заданного типа в блоке памяти, минимизации их для выбранной элементной базы и схемной реализации в функционально полном базисе элементов.

5. ЭВМ, как цифровой автомат

цифровой граф дискретный автомат

Одним из главных понятий является понятие цифрового автомата.

Вид перерабатываемой информации влияет на структуру вычислительных машин, которые в зависимости от этого делят на два основных класса: аналоговые и цифровые.

В практике часто используется понятие цифрового автомата, под которым понимают устройство, предназначенное для преобразования цифровой информации.

Входные сигналы в цифровых автоматах представляются в виде конечного множества мгновенных сигналов. Теоретически это означает, что входные сигналы не имеют длительности, хотя практически это не так. Такое допущение упрощает рассмотрение процессов, происходящих в автоматах, так как все события должны относиться к фиксированному моменту времени t.

Условно также принимается, что число выходных сигналов y(t) конечно и они возникают в результате действия входных сигналов. При этом следует учитывать, что одновременно с появлением выходного сигнала происходит скачкообразный переход автомата из состояния 1 в состояние 2.

Цифровой автомат называется правильным, если выходной сигнал y(t) определяется только его состоянием q(t-1) или q(t) и не зависит от входных сигналов.

Время для цифрового автомата имеет также важное значение. Для решения задач анализа и синтеза цифровых автоматов обычно вводится автоматное время. Существует два способа введения автоматного времени, по которым цифровые автоматы делят на два класса (синхронные и асинхронные).

Для однозначного управления цифровым автоматом необходимо, чтобы он начинал работу с определённого начального состояния. Автомат является конечным, если А, X и Y не являются бесконечными множествами. Теоретически все элементы множеств А, X, Y могут быть закодированы числами в системе счисления с любым основанием, но на практике всегда используется двоичная система счисления. Согласно структурной схеме коды наборов переменных комбинационных схем определяются в результате конкатенации кодов входных сигналов и кодов состояний блока памяти. Как наборы входных переменных, так и коды состояний блока памяти в общем случае содержат запрещённые комбинации, поэтому системы функций алгебры логики, описывающие комбинационные схемы, не будут полностью определёнными.

6. Практическая часть

№ =55

(55)10 = (0110111)2

S0, S1, … S7 - внутреннее состояние

Х - входной алфавит

У - выходной алфавит

S0 - начальная вершина

л - функция переходов

в - функция выходов

S0 = 000

S1 = 001

S2 = 010

S3 = 011

S4 = 100

S5 = 101

S6 = 110

S7 = 111

Размещено на http://www.allbest.ru/

Граф

Таблица переходов

Входное

состояние

Внутреннее

состояние

Х=0

Х=1

S0

S1

S0

S1

S1

S2

S2

S1

S3

S3

S4

S0

S4

S1

S5

S5

S1

S6

S6

S4

S7

S7

S1

S0

Таблица выходов

Входное

состояние

Внутреннее

состояние

Х=0

Х=1

S0

У0

У0

S1

У0

У0

S2

У0

У0

S3

У0

У0

S4

У0

У0

S5

У0

У0

S6

У0

У1

S7

У0

У0

Совмещенная таблица

Входное

состояние

Внутреннее

состояние

Х=0

Х=1

S0

S1у0

S0у0

S1

S1у0

S2у0

S2

S1у0

S3у0

S3

S4у0

S0у0

S4

S1у0

S5у0

S5

S1у0

S6у0

S6

S4у0

S7у1

S7

S1у0

S0у0

Таблица цифрового автомата

х

Q1 Q2 Q3

Q'1 Q'2 Q'3

R1S1 R2S2 R3S3

У0 У1

0

0 0 0

0 0 1

*0 *0 01

10

0

0 0 1

0 0 1

*0 *0 0*

10

0

0 1 0

0 0 1

*0 10 01

10

0

0 1 1

1 0 0

01 10 10

10

0

1 0 0

0 0 1

10 *0 01

10

0

1 0 1

0 0 1

10 *0 0*

10

0

1 1 0

1 0 0

0* 10 *0

10

0

1 1 1

0 0 1

10 10 0*

10

1

0 0 0

0 0 0

*0 *0 *0

10

1

0 0 1

0 1 0

*0 01 10

10

1

0 1 0

0 1 1

*0 0* 01

10

1

0 1 1

0 0 0

*0 10 10

10

1

1 0 0

1 0 1

0* *0 01

10

1

1 0 1

1 1 0

0* 01 10

10

1

1 1 0

1 1 1

0* 0* 01

01

1

1 1 1

0 0 0

10 10 10

10

Q2 Q3

Х Q1

0 0

0 1

1 1

1 0

0 0

*1

*1

0

*1

0 1

1

1

1

0

1 1

0

0

1

0

1 0

*1

*1

*1

*1

R1= X Q1 V Q2 Q3 Q4

Q2 Q3

Х Q1

0 0

0 1

1 1

1 0

0 0

0

0

1

0

0 1

0

0

0

*1

1 1

*1

*1

0

*1

1 0

0

0

0

0

S1= X Q1 Q2 Q3

Q2 Q3

Х Q1

0 0

0 1

1 1

1 0

0 0

*1

*1

1

1

0 1

*1

*1

1

1

1 1

*

0

1

0

1 0

*

0

1

0

R2 = x V Q2 Q3

Q2 Q3

Х Q1

0 0

0 1

1 1

1 0

0 0

0

0

0

0

0 1

0

0

0

0

1 1

0

1

0

*

1 0

0

1

0

*

S2 = x Q2 Q3

Q2 Q3

Х Q1

0 0

0 1

1 1

1 0

0 0

0

0

1

0

0 1

0

0

0

*

1 1

0

1

1

0

1 0

*

1

1

0

R3 =x Q3 V Q1 Q2 Q3

Q2 Q3

Х Q1

0 0

0 1

1 1

1 0

0 0

1

*1

0

1

0 1

1

*1

*

0

1 1

1

0

0

1

1 0

0

0

0

1

S3 = x Q2 V x Q2 Q3 V Q1 Q2 Q3 V Q1 Q2 Q3

Q2 Q3

Х Q1

0 0

0 1

1 1

1 0

0 0

1

1

1

1

0 1

1

1

1

1

1 1

1

1

1

0

1 0

1

1

1

1

Y0 = x V Q1 V Q2 V Q3

Q2 Q3

Х Q1

0 0

0 1

1 1

1 0

0 0

0

0

0

0

0 1

0

0

0

0

1 1

0

0

0

1

1 0

0

0

0

0

Y1 = x Q1 Q2 Q3

Заключение

Развитие электронной вычислительной техники, информатики и применение их средств и методов в народном хозяйстве, научных исследованиях, образовании и других сферах человеческой деятельности являются в настоящее время приоритетным направлением научно- технического прогресса. Это приводит к необходимости широкой подготовки специалистов по электронным вычислительным машинам, системам и сетям, программному обеспечению и прикладной математике, автоматизированным системам обработки данных и управления.

Развитие вычислительной техники, сферы и методов ее использования - процессы взаимосвязанные и взаимообусловленные. С одной стороны, потребности народного хозяйства, науки и культуры стимулируют поиски учеными и конструкторами новых путей построения ЭВМ, а с другой стороны, появление электронных вычислительных машин, систем и устройств с большими функциональными возможностями, с существенно улучшенными показателями по производительности, стоимости, габаритным размерам, надежности и т.п. создает предпосылки для непрерывного расширения областей и развития форм применения ЭВМ.

Первоначально сравнительно узкая сфера применения ЭВМ, главным образом для научных и технических расчетов, в короткий срок существенно расширилась и охватила почти все области науки, техники, планирования и управления технологическими процессами, все области человеческой деятельности, связанные с обработкой больших объемов информации.

Разнообразие областей и форм использования ЭВМ породило широкий спектр требований к характеристикам и особенностям организации машин и систем.

Основные средства современной вычислительной техники можно классифицировать следующим образом: сверхпроизводительные ЭВМ и системы, ЭВМ общего назначения, малые ЭВМ, микроЭВМ, персональные компьютеры, микропроцессоры.

Литература

1.Б.М. Каган «Электронные вычислительные машины и системы», 1991 г.

2. А.Я.Савельев «Прикладная теория цифровых автоматов», 1987 г.

3. В.Брауэр «Введение в теорию конечных автоматов», 1987

4.Гудилин А.В. «Цифровая схемотехника»,Челябинск, 2000.

5.Иванов В.И. «Синтез цифровых автоматов для систем связи и управления», Челябинск, 1980

6.Щелкунов Н.Н., Дианов А.П. «Процедуры программирования логических матриц, - Микропроцессорные средства и системы», 1986, №2.

7.Баранов СИ. «Синтез микропрограммных автоматов», - Л.: Энергия, 1979.

8.Электронный конспект лекций Гудилин Алексей Евгеньевич.

9.Конспект лекций по курсу цифровые автоматы. ЮУрГУ 2004.

10.Колосков В.А. Проектирование вычислительного устройства: методические указания к курсовому проекту / КГТУ 1996г.

11.Майоров С.А., Новиков Г.И. «Структура электронных вычислительных машин» - Л. Машиностроение, Ленингр. отд-ние, 1979.

12. «Цифровые интегральные микросхемы» Справочник под редакцией М. И. Богданович. Минск., «Беларусь» 1991

13. Баранов В.П. «Синтез микропрограммных автоматов» - М.: Нолидж. - 1997

14. Горбатов В.А. «Синтез композиции операционного и управляющего автоматов в вычислительной технике». М.: Энергоатомиздат, 1973

15. Кузнецов О.П., Адельсон-Вельский Г.М. «Дискретная математика для инженера». М.: Энергоатомиздат. - 1988.

Размещено на Allbest.ru


Подобные документы

  • Структурная схема и синтез цифрового автомата. Построение алгоритма, графа и таблицы его функционирования в микрокомандах. Кодирование состояний автомата. Функции возбуждения триггеров и формирования управляющих сигналов. Схема управляющего устройства.

    курсовая работа [789,4 K], добавлен 25.11.2010

  • Исследование структурной схемы цифрового автомата и операционного устройства. Алгоритм функционирования цифрового автомата в микрооперациях. Кодирование его состояний. Характеристика функций возбуждения триггеров и формирования управляющих сигналов.

    курсовая работа [3,6 M], добавлен 06.12.2013

  • Обобщенная схема конечного цифрового автомата. Структурная и каскадная схема мультиплексора. Кодирование входных и выходных сигналов и состояний автомата. Схема разработанного цифрового устройства. Синтез дешифратора автомата. Выбор серии микросхем.

    контрольная работа [279,1 K], добавлен 07.01.2015

  • Основные понятия о цифровом устройстве и главные принципы его построения. Этапы разработки цифрового автомата по алгоритму функционирования. Выбор микросхем, их учет и расчет мощности, потребляемой автоматом. Исследование цифрового автомата на переходе.

    курсовая работа [1,0 M], добавлен 09.10.2009

  • Расчет схемы цифрового автомата, функционирующего в соответствии с заданным алгоритмом. Кодирование состояний. Составление таблицы функционирования комбинационного узла автомата. Запись логических выражений. Описание выбранного дешифратора и триггера.

    курсовая работа [423,4 K], добавлен 18.04.2011

  • Выполнение синтеза цифрового автомата Мура, осуществляющего отображение информации, приведение алфавитного отображения к автоматному. Построение формализованного описания автомата, минимизация числа внутренних состояний. Функциональная схема автомата.

    курсовая работа [2,8 M], добавлен 04.02.2013

  • Функциональная схема и механизм работы цифрового устройства обработки данных. Синтез управляющего автомата, выбор типа триггера, описание управляющего автомата и счётчиков на языке Verilog. Процесс тестирования и моделирования управляющего автомата.

    курсовая работа [3,2 M], добавлен 05.12.2012

  • Управляющий цифрового автомат типа Мура. Абстрактный и структурный синтез автомата, построена функциональная схема. Функции выходов и возбуждения элементов памяти. Моделирование на ПК с использованием симулятора ModelSim. Описание автомата на языке VHD.

    курсовая работа [214,2 K], добавлен 07.11.2010

  • Теоретические основы процессоров. Построение процессоров и их общая структура. Цифровые автоматы. Расчёт количества триггеров и кодирование состояний ЦА. Структурная схема управляющего устройства. Построение графа функционирования управляющего устройства.

    курсовая работа [85,0 K], добавлен 08.11.2008

  • Алгоритм работы автомата Мили в табличном виде. Графический способ задания автомата. Синтез автомата Мили на Т-триггерах. Кодирование состояний автомата. Таблицы кодирования входных и выходных сигналов. Таблица переходов и выходов абстрактного автомата.

    курсовая работа [24,7 K], добавлен 01.04.2010

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.