Блок управления спец-ЭВМ

Моделирование вентиля в программе схемотехнического моделирования Tanner T-Spise Pro. Проектирование счетчика с коэффициентом пересчета 12. Моделирование программируемой логической матрицы в программе схемотехнического моделирования Tanner T-Spise Pro.

Рубрика Программирование, компьютеры и кибернетика
Вид курсовая работа
Язык русский
Дата добавления 11.11.2017
Размер файла 532,8 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

МОСКОВСКИЙ ГОСУДАРСТВЕННЫЙ ИНСТИТУТ

ЭЛЕКТРОНИКИ И МАТЕМАТИКИ

(технический университет)

Курсовая работа

По курсу «Схемотехника ЭВМ»

Блок управления спец-ЭВМ

Москва 2004

Содержание

Постановка задачи

Введение

Моделирование вентиля ЭСЛ в программе схемотехнического моделирования Tanner T-Spise Pro

Проектирование JK/R - триггера

Проектирование счетчика с коэффициентом пересчета 12

Моделирование ПЛМ в программе схемотехнического моделирования Tanner T-Spise Pro

Проектирование D - триггера

Проектирование схемы блокировки

Функциональная схема регистра со схемой блокировки

Анализ технических параметров схемы

Выводы

Список литературы

Постановка задачи

схемотехнический моделирование программа tanner

Разработать устройство управления спец-ЭВМ, имеющее:

а) формат команды

Y1

Y2

Y3

Y4

Y5

Y6

Y7

Y8

Y9

Y10

код типа Адрес первого Адрес второго

передачи операнда операнда

б) структурную схему

Программа, реализуемая блоком управления, содержит набор команд:

А = ( а12,..........................an )

где:

N - число команд в программе, ai - номер команды, хранимой в ПЛМ.

В ПЛМ (или другой схеме памяти) на 4 входа и 10 выходов записана следующая информация:

Y1=Mi1(m1i1, m2i1,…………mk1i1)

Y2=Mi2(m1i2, m2i2,…………mk2i2)

………………………………….

………………………………….

Y10=Mi10(m1i10, m2i10,…………mk1i10)

где: Mij - множество номеров минтермов (m), входящих в j-ю функцию выхода ПЛМ (или другой схемы памяти).

Выбранная из ПЛМ команда должна поступать в первый регистр (РГ1). Первые два разряда команды определяют последующую передачу информации: если первые два разряда выбранной команды Y1, Y2 совпадают с содержимым регистра типа передачи (РГТП) или другой схемы, выполняющей функцию сравнения, то содержащиеся в первом регистре РГ1 адреса двух операндов ( УЗ чУ10 ) поступают во второй регистр РГ2, в противном случае эта информация подается в третий регистр РГЗ.

Массивы минтермов:

M1 = (0,2,З,5,7,8,10,12)

М2 = (6,7,8,13,14,15)

М3 = (0,1,3,5,8,14,15)

М4 = (5,9,11,14,15)

М5 = (3,4,6,8,10,12,14)

М6 = (2,4,6,8,11,13,15)

М7 = (2,4,6,8,10,12,13,14)

М8 = (1,7,8,9,10,13,14)

М9 = (2,3,4,7,8,9,15)

М10 = (1,7,8,9,10,13,15)

М11 = (4,7,9,11,12,13,15)

М12 = (3,4,6,7,10,11,13,14)

М13 = (9,11,13,14,15,)

М14 = (0,2,4,7,8,9,13,14)

М15 = (2,6,8,10,11,12)

М16 = (0,1,3,7,9,11)

Последовательность номеров команд:

A = (1, 6, 9, 4, 3, 2, 12, 13, 7, 5, 12, 13)

Описание ПЛМ

X4X3X2X1

Y1

Y2

Y3

Y4

Y5

Y6

Y7

Y8

Y9

Y10

M15

M16

M5

M4

M3

M8

M7

M9

M12

M11

0

0000

0

1

0

0

1

0

0

0

0

0

1

0001

0

1

0

0

1

1

0

0

0

0

2

0010

1

0

0

0

0

0

1

1

0

0

3

0011

0

1

1

0

1

0

0

1

1

0

4

0100

0

0

1

0

0

0

1

1

1

1

5

0101

0

0

0

1

1

0

0

0

0

0

6

0110

1

0

1

0

0

0

1

0

1

0

7

0111

0

1

0

0

0

1

0

1

1

1

8

1000

1

0

1

1

1

1

1

1

0

0

9

1001

0

1

0

0

0

1

0

1

0

1

10

1010

1

0

1

0

0

1

1

0

1

0

11

1011

1

1

0

0

0

0

0

0

1

1

12

1100

1

0

1

0

0

0

1

0

0

1

13

1101

0

0

0

0

0

1

1

0

1

1

14

1110

0

0

1

1

1

1

1

0

1

0

15

1111

0

0

0

1

1

0

0

1

0

1

Введение

1. Общий подход к проектированию конкретных блоков.

Генератор чисел постоен на JK/R триггерах

Память выполнена на ПЛМ

Регистры построены на D - триггерах

2. Выбор схемотехнического базиса.

Основным критерием проектирования мною выбрана быстродействие схемы. Этот критерий востребован в военной промышленности. Таким образом, я выбрал вентиль ЭСЛ. Это самый быстродействующий вентиль, так ни один из транзисторов содержащихся в нем не находится в режиме насыщения. То есть требуется значительно меньшее время для переключения схемы, чем в других базисах.

3. Выбор логического базиса.

Так как в военной промышленности основным критерием является быстродействие схемы, то я выбрал наиболее «быстрый» базис ИЛИ-НЕ-Монтажное ИЛИ

Моделирование вентиля ЭСЛ в программе схемотехнического моделирования Tanner T-Spise Pro

Изображение схемы в модуле S-Edit

Текст программы полученный в модуле T-Spise:

* SPICE netlist written by S-Edit Win32 6.02

* Written on May 19, 2004 at 21:50:43

* Waveform probing commands

.probe

.options probefilename="esl.dat"

+ probesdbfile="C:\Схемотехника ЭВМ\esl.sdb"

+ probetopmodule="Module0"

* Main circuit: Module0

R1 N1 N7 2000 TC=0.0, 0.0

Q2 N39 in2 N29 NPN area=1

Q3 Gnd N30 ili NPN area=1

Q4 Gnd N39 ili-ne NPN area=1

Q5 N39 in3 N29 NPN area=1

Q6 N39 in1 N29 NPN area=1

Q7 Gnd N27 N1 NPN area=1

Q8 N30 N1 N29 NPN area=1

D9 N27 N25 DIODE area=1

D10 N25 N13 DIODE area=1

R11 N29 N7 1180 TC=0.0, 0.0

R12 Gnd N30 300 TC=0.0, 0.0

R13 in1 N3 50 TC=0.0, 0.0

R14 Gnd N39 290 TC=0.0, 0.0

R15 ili N7 1500 TC=0.0, 0.0

R16 N13 N7 2350 TC=0.0, 0.0

R17 Gnd N27 300 TC=0.0, 0.0

R18 ili-ne N7 1500 TC=0.0, 0.0

R19 in2 N2 50 TC=0.0, 0.0

R20 in3 N5 50 TC=0.0, 0.0

v21 N7 Gnd -5.2

v22 N2 Gnd -3

v23 N5 Gnd -3

v24 N3 Gnd pulse(-1.61 -0.81 4n 2n 2n 5n 14n)

.tran 0.01n 20n

.model diode d

.model npn npn

.print tran v(ili-ne) v(ili) v(in1)

Переходная характеристика полученная в модуле W-Edit:

С помощью графика можно вычислить, что задержка переключения схемы 1 нс.

Мощность работы вентиля P = 0.5 * Epit(I0 + I1) =0.5 * 5.2 (11.76 + 11.45) = 60.35 мВт

Проектирование JK/R - триггера

C

R

J

K

Qn

Qn+1

0

0

0

0

0

0

0

0

0

0

1

1

0

0

0

1

0

0

0

0

0

1

1

1

0

0

1

0

0

0

0

0

1

0

1

1

0

0

1

1

0

0

0

0

1

1

1

1

0

1

0

0

0

0

0

1

0

0

1

1

0

1

0

1

0

0

0

1

0

1

1

1

0

1

1

0

0

0

0

1

1

0

1

1

0

1

1

1

0

0

0

1

1

1

1

1

1

0

0

0

0

0

1

0

0

0

1

1

1

0

0

1

0

0

1

0

0

1

1

0

1

0

1

0

0

1

1

0

1

0

1

1

1

0

1

1

0

1

1

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

0

1

1

1

0

0

0

1

1

1

0

1

0

1

1

1

1

0

0

1

1

1

1

1

0

Алгоритм работы триггера можно описать следующим образом. Когда на вход С подается 0, то проиходит сохранение сигналов на выходе, когда 0 - работает JK/R - триггер. Когда на вход R подается 0, то работает JK - триггер, когда 1 - происходит сброс триггера в 0.

++ =

=

++ =

=

Функциональная схема JK/R - триггера

Задержка JK/R - триггера 3 ф, мощность 11 Р.

Проектирование счетчика с коэффициентом пересчета 12

Q3n

Q2n

Q1n

Q0n

Q3n+1

Q2n+1

Q1n+1

Q0n+1

F3

J3

K3

F2

J2

K2

F1

J1

K1

F0

J0

K0

0

0

0

0

0

0

0

0

1

0

0

x

0

0

x

0

0

x

?

1

x

1

0

0

0

1

0

0

1

0

0

0

x

0

0

x

?

1

x

x

1

2

0

0

1

0

0

0

1

1

0

0

x

0

0

x

1

x

0

?

1

x

3

0

0

1

1

0

1

0

0

0

0

x

?

1

x

x

1

x

1

4

0

1

0

0

0

1

0

1

0

0

x

1

x

0

0

0

x

?

1

x

5

0

1

0

1

0

1

1

0

0

0

x

1

x

0

?

1

x

x

1

6

0

1

1

0

0

1

1

1

0

0

x

1

x

0

1

x

0

?

1

x

7

0

1

1

1

1

0

0

0

?

1

x

x

1

x

1

x

1

8

1

0

0

0

1

0

0

1

1

x

0

0

0

x

0

0

x

?

1

x

9

1

0

0

1

1

0

1

0

1

x

0

0

0

x

?

1

x

x

1

10

1

0

1

0

1

0

1

1

1

x

0

0

0

x

1

x

0

?

1

x

11

1

0

1

1

0

0

0

0

x

1

0

0

x

x

1

x

1

Задержка счетчика 3 ф, мощность - 47 Р.

Проектирование преобразователя кодов

Q3n+1

Q2n+1

Q1n+1

Q0n+1

X3

X2

X1

X0

1

0

0

0

1

0

0

0

1

2

0

0

1

0

0

1

1

0

3

0

0

1

1

1

0

0

1

4

0

1

0

0

0

1

0

0

5

0

1

0

1

0

0

1

1

6

0

1

1

0

0

0

1

0

7

0

1

1

1

1

1

0

0

8

1

0

0

0

1

1

0

1

9

1

0

0

1

0

1

1

1

10

1

0

1

0

0

1

0

1

11

1

0

1

1

1

1

0

0

0

0

0

0

0

1

1

0

1

Функциональная схема преобразователя кодов:

Задержка преобразователя кодов - 1 ф, мощность 13 Р.

Проектирование ПЛМ

M16

M5

M2

M1

M7

M8

M12

M13

M15

M3

X3

X2

X1

X0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

Y8

Y9

Y10

0

0

0

0

0

1

0

0

1

0

0

0

0

0

1

1

0

0

0

1

1

0

0

0

0

1

0

0

0

1

2

0

0

1

0

0

0

0

1

1

0

0

0

1

0

3

0

0

1

1

1

1

0

1

0

0

1

0

0

1

4

0

1

0

0

0

1

0

0

1

0

1

0

0

0

5

0

1

0

1

0

0

0

1

0

0

0

0

0

1

6

0

1

1

0

0

1

1

0

1

0

1

0

1

0

7

0

1

1

1

1

0

1

1

0

1

1

0

0

0

8

1

0

0

0

0

1

1

1

1

1

0

0

1

1

9

1

0

0

1

1

0

0

0

0

1

0

1

0

0

10

1

0

1

0

0

1

0

1

1

1

1

0

1

0

11

1

0

1

1

1

0

0

0

0

0

1

1

1

0

12

1

1

0

0

0

1

0

1

1

0

0

0

1

0

13

1

1

0

1

0

0

1

0

1

1

1

1

0

0

14

1

1

1

0

0

1

1

0

1

1

1

1

0

1

15

1

1

1

1

0

0

1

0

0

0

0

1

0

1

Моделирование ПЛМ в программе схемотехнического моделирования Tanner T-Spise Pro

Изображение схемы в модуле S-Edit

Текст программы полученный в модуле T-Spise:

* SPICE netlist written by S-Edit Win32 6.02

* Written on May 20, 2004 at 09:32:07

* Waveform probing commands

.probe

.options probefilename="PLM.dat"

+ probesdbfile="C:\Documents and Settings\eugene\Рабочий стол\МИЭМ\Схемотехника ЭВМ\Tanner\PLM.sdb"

+ probetopmodule="Module0"

* No Ports in cell: PageID_Tanner

* End of module with no ports: PageID_Tanner

.SUBCKT Inv A Out Gnd Vdd

M2 Out A Gnd Gnd NMOS W='28*l' L='2*l' AS='148*l*l' AD='144*l*l' PS='68*l' PD='68*l' M=1

* Page Size: 5x7

* S-Edit Inverter (TIB)

* Designed by: J. Luo Apr 28, 2004 12:01:17

* Schematic generated by S-Edit

* from file C:\Documents and Settings\eugene\Рабочий стол\МИЭМ\Схемотехника ЭВМ\Tanner\PLM / module Inv / page Page0

M1 Out A Vdd Vdd PMOS W='28*l' L='2*l' AS='148*l*l' AD='144*l*l' PS='68*l' PD='68*l' M=1

.ENDS

* Main circuit: Module0

.param l=1u

Q1 N75 N18 y2 NPN area=1

Q2 N4 N9 y3 NPN area=1

Q3 N4 N3 y3 NPN area=1

Q4 N45 N3 y1 NPN area=1

Q5 N74 N8 y4 NPN area=1

Q6 N74 N20 y4 NPN area=1

Q7 N45 N11 y1 NPN area=1

Q8 N15 N20 y5 NPN area=1

Q9 N15 N8 y5 NPN area=1

Q10 N67 N18 y6 NPN area=1

Q11 N67 N3 y6 NPN area=1

Q12 N66 N3 y7 NPN area=1

Q13 N66 N13 y7 NPN area=1

Q14 N66 N9 y7 NPN area=1

Q15 N66 N22 y7 NPN area=1

Q16 N35 N24 y8 NPN area=1

Q17 N70 N24 y9 NPN area=1

Q18 N71 N24 y10 NPN area=1

Q19 N70 N22 y9 NPN area=1

Q20 N70 N3 y9 NPN area=1

Q21 N71 N11 y10 NPN area=1

Q22 N71 N18 y10 NPN area=1

Q23 N75 N32 y2 NPN area=1

Q24 N75 N27 y2 NPN area=1

Q25 N49 N30 y3 NPN area=1

Q26 N74 N28 y4 NPN area=1

Q27 N15 N31 y5 NPN area=1

Q28 N15 N40 y5 NPN area=1

Q29 N67 N34 y6 NPN area=1

Q30 N67 N37 y6 NPN area=1

Q31 N67 N39 y6 NPN area=1

Q32 N35 N29 y8 NPN area=1

Q33 N35 N57 y8 NPN area=1

Q34 N35 N26 y8 NPN area=1

Q35 N70 N61 y9 NPN area=1

Q36 N70 N58 y9 NPN area=1

Q37 N45 N12 y1 NPN area=1

Q38 N71 N56 y10 NPN area=1

Q39 N45 N13 y1 NPN area=1

D40 N11 N53 DIODE area=1

D41 N11 N33 DIODE area=1

D42 N11 x3 DIODE area=1

D43 N32 N54 DIODE area=1

D44 N3 N54 DIODE area=1

D45 N11 x4 DIODE area=1

D46 N3 x4 DIODE area=1

D47 N3 N53 DIODE area=1

D48 N12 x4 DIODE area=1

D49 N12 N54 DIODE area=1

D50 N12 x2 DIODE area=1

D51 N13 N55 DIODE area=1

D52 N13 x2 DIODE area=1

D53 N13 N53 DIODE area=1

D54 N18 N55 DIODE area=1

D55 N18 x3 DIODE area=1

D56 N18 x2 DIODE area=1

D57 N18 x1 DIODE area=1

D58 N9 x3 DIODE area=1

D59 N9 N53 DIODE area=1

D60 N8 x4 DIODE area=1

D61 N8 x3 DIODE area=1

D62 N8 x2 DIODE area=1

D63 N20 N55 DIODE area=1

D64 N20 x3 DIODE area=1

D65 N20 N33 DIODE area=1

D66 N20 x1 DIODE area=1

D67 N22 x4 DIODE area=1

D68 N22 x3 DIODE area=1

D69 N22 x1 DIODE area=1

D70 N22 N33 DIODE area=1

D71 N24 N55 DIODE area=1

D72 N24 x3 DIODE area=1

D73 N32 x1 DIODE area=1

D74 N24 N33 DIODE area=1

D75 N24 N53 DIODE area=1

D76 N27 N55 DIODE area=1

D77 N27 N54 DIODE area=1

D78 N27 N33 DIODE area=1

D79 N30 N55 DIODE area=1

D80 N30 N54 DIODE area=1

D81 N30 x2 DIODE area=1

D82 N30 x1 DIODE area=1

D83 N28 x4 DIODE area=1

D84 N28 N54 DIODE area=1

D85 N28 x1 DIODE area=1

D86 N31 x1 DIODE area=1

D87 N31 N54 DIODE area=1

D88 N31 N55 DIODE area=1

D89 N34 x4 DIODE area=1

D90 N37 x4 DIODE area=1

D91 N29 x4 DIODE area=1

D92 N56 x4 DIODE area=1

D93 N57 N55 DIODE area=1

D94 N58 N55 DIODE area=1

D95 N61 x3 DIODE area=1

D96 N26 x3 DIODE area=1

D97 N57 N54 DIODE area=1

D98 N29 N54 DIODE area=1

D99 N39 N54 DIODE area=1

D100 N40 N54 DIODE area=1

D101 N46 x2 DIODE area=1

D102 N44 x2 DIODE area=1

D103 N57 x2 DIODE area=1

D104 N26 x2 DIODE area=1

D105 N61 x2 DIODE area=1

D106 N58 x2 DIODE area=1

D107 N56 x1 DIODE area=1

D108 N58 x1 DIODE area=1

D109 N26 x1 DIODE area=1

D110 N40 N33 DIODE area=1

D111 N34 N33 DIODE area=1

D112 N39 N33 DIODE area=1

D113 N34 x1 DIODE area=1

D114 N61 N53 DIODE area=1

D115 N39 N53 DIODE area=1

D116 N37 N53 DIODE area=1

D117 N40 N53 DIODE area=1

XInv_1 x4 N55 Gnd Vdd Inv

XInv_2 x3 N54 Gnd Vdd Inv

XInv_3 x2 N33 Gnd Vdd Inv

XInv_4 x1 N53 Gnd Vdd Inv

R118 N1 N11 1500 TC=0.0, 0.0

R119 N1 N3 1500 TC=0.0, 0.0

R120 N1 N12 1500 TC=0.0, 0.0

R121 N1 N13 1500 TC=0.0, 0.0

R122 y1 Gnd 1500 TC=0.0, 0.0

R123 N1 N18 1500 TC=0.0, 0.0

R124 N1 N9 1500 TC=0.0, 0.0

R125 N1 N8 1500 TC=0.0, 0.0

R126 N1 N20 1500 TC=0.0, 0.0

R127 N1 N22 1500 TC=0.0, 0.0

R128 N1 N24 1500 TC=0.0, 0.0

R129 y2 Gnd 1500 TC=0.0, 0.0

R130 N1 N32 1500 TC=0.0, 0.0

R131 N1 N27 1500 TC=0.0, 0.0

R132 N1 N30 1500 TC=0.0, 0.0

R133 N1 N28 1500 TC=0.0, 0.0

R134 N1 N31 1500 TC=0.0, 0.0

R135 y3 Gnd 1500 TC=0.0, 0.0

R136 y4 Gnd 1500 TC=0.0, 0.0

R137 y5 Gnd 1500 TC=0.0, 0.0

R138 y6 Gnd 1500 TC=0.0, 0.0

R139 y7 Gnd 1500 TC=0.0, 0.0

R140 y8 Gnd 1500 TC=0.0, 0.0

R141 y9 Gnd 1500 TC=0.0, 0.0

R142 y10 Gnd 1500 TC=0.0, 0.0

R143 N1 N40 1500 TC=0.0, 0.0

R144 N1 N34 1500 TC=0.0, 0.0

R145 N1 N37 1500 TC=0.0, 0.0

R146 N1 N39 1500 TC=0.0, 0.0

R147 N1 N29 1500 TC=0.0, 0.0

R148 N1 N57 1500 TC=0.0, 0.0

R149 N1 N26 1500 TC=0.0, 0.0

R150 N1 N61 1500 TC=0.0, 0.0

R151 N1 N58 1500 TC=0.0, 0.0

R152 N1 N56 1500 TC=0.0, 0.0

v153 x3 Gnd bit({0000111100001111} pw=100n on=5.0 off=0.0 rt=3n ft=3n delay=0 lt=20n ht=20n)

v154 x4 Gnd bit({0000000011111111} pw=100n on=5.0 off=0.0 rt=3n ft=3n delay=0 lt=20n ht=20n)

v155 x2 Gnd bit({0011001100110011} pw=100n on=5.0 off=0.0 rt=3n ft=3n delay=0 lt=20n ht=20n)

v156 x1 Gnd bit({0101010101010101} pw=100n on=5.0 off=0.0 rt=3n ft=3n delay=0 lt=20n ht=20n)

v157 N1 Gnd 5.0

v158 N45 Gnd 5.0

v159 N75 Gnd 5.0

v160 N4 Gnd 5.0

v161 N74 Gnd 5.0

v162 N15 Gnd 5.0

v163 N67 Gnd 5.0

v164 N66 Gnd 5.0

v165 N35 Gnd 5.0

v166 N70 Gnd 5.0

v167 N71 Gnd 5.0

* End of main circuit: Module0

.model npn npn

.model diode d

.include "C:\Program Files\Tanner EDA\T-Spice Pro v6.02\models\ml2_20.md"

.tran/op 0.1n 320n method=bdf

.print tran v(x1) v(x2) v(x3) v(x4)

Переходная характеристика полученная в модуле W-Edit:

Входы X1, X2, X3, X4

Выходы Y1, Y2, Y3, Y4, Y5

Выходы Y6, Y7, Y8, Y9, Y10

Проектирование схемы сравнения.

Y1

Y2

S1

S2

C1

C2

0

0

0

0

0

1

0

1

0

0

0

1

0

1

2

0

0

1

0

0

1

3

0

0

1

1

0

1

4

0

1

0

0

0

1

5

0

1

0

1

1

0

6

0

1

1

0

0

1

7

0

1

1

1

0

1

8

1

0

0

0

0

1

9

1

0

0

1

0

1

10

1

0

1

0

1

0

11

1

0

1

1

0

1

12

1

1

0

0

0

1

13

1

1

0

1

0

1

14

1

1

1

0

0

1

15

1

1

1

1

1

0

Алгоритм работы схемы сравнения

Если (Y1,Y2) = (S1,S2), то (Y3…Y10) => Рг 2,

Иначе => Рг 3

Задержка схемы сравнения - 2 ф, мощность 12 Р.

Проектирование D - триггера

C

D

Qn

Qn+1

0

0

0

0

0

0

1

1

0

1

0

0

0

1

1

1

1

0

0

0

1

0

1

0

1

1

0

1

1

1

1

1

D - триггер работает следующим образом. Когда на входе С подается 1 он сохраняет предыдущее состояние, когда - 0, он устанавливается в состояние соответствующее сигналу на входе D.

Задержка D - триггера - 2 ф, мощность 6 Р.

Проектирование схемы блокировки

C

Q

F

0

0

0

0

1

1

1

0

0

1

1

0

Схема блокировки работает следующим образом. Когда на вход С подается 0, регистр открыт по выходу, когда 1 - закрыт. Сигнал С следует взять со схемы сравнения.

Функциональная схема регистра со схемой блокировки

Задержка регистра 3 ф, мощность 112 Р.

В скобках указаны сигналы для Рг3. Действительно, если (Y1,Y2) = (S1,S2), то (Y3…Y10) и С1=1 (Рг2 открыт по входу) и С2 =0 (Рг2 открыт по выходу), при том что Рг3 закрыт по входу и по выходу, иначе Рг 3 открыт по входу и во выходу, а Рг2 закрыт.

Анализ технических параметров схемы

Общая задержка схемы 9 ф +ПЛМ = 12нс

Общая мощность схемы 184 Р + ПЛМ

Выводы

В результате выполнения курсового проекта:

1. Проработан теоретический материал по принципам работы основных устройств ЭВМ и различным САПР.

2. Был выбран схемотехнический базис для реализации устройств с учетом параметров технического задания.

3. Изучен словарный метод синтеза схем.

4. Разработано устройство управления спец-ЭВМ в соответствии с конкретным заданием.

Список литературы

1. Соловьев Г.Н. «Схемотехника ЭВМ» Москва «Высшая школа» 1985

2. Трубочкина Н.К., Жданов В.С. «Методические указания для выполнения курсового проекта по курсу «Схемотехника ЭВМ»» «МГИЭМ» Москва 1997.

Размещено на Allbest.ru


Подобные документы

  • Простейшие электрические цепи первого порядка. Характеристика электрических цепей второго порядка, их параметры. Элементы нелинейных цепей. Основные этапы моделирования схем с помощью программы схемотехнического проектирования и моделирования Micro-Cap.

    контрольная работа [196,6 K], добавлен 17.03.2011

  • MicroCAP-8 как универсальный пакет программ схемотехнического анализа. Задание параметров моделирования, характеристика команд. Меню режимов расчета переходных процессов. Расчет частотных характеристик, передаточных функций по постоянному току и режима.

    дипломная работа [2,5 M], добавлен 16.03.2011

  • Наличие удобного графического интерфейса как характерная особенность пакета программ схемотехнического анализа MicroCAP-7. Окно отображения результатов моделирования. Электронная лупа Scope, функции раздела Performance и вывод графиков в режиме Probe.

    реферат [98,0 K], добавлен 15.01.2011

  • Моделирование концепта внешнего USB картридера с функцией USB и Ethernet hub с экраном, на котором располагается нужная пользователю информация. Особенности проектирования 3d модели USB гаджета: выбор программы и метода моделирования, разработка дизайна.

    контрольная работа [217,7 K], добавлен 18.01.2012

  • Значение компьютерного моделирования, прогнозирования событий, связанных с объектом моделирования. Совокупность взаимосвязанных элементов, важных для целей моделирования. Особенности моделирования, знакомство со средой программирования Турбо Паскаль.

    курсовая работа [232,6 K], добавлен 17.05.2011

  • Методические указания для разработки комплексного курсового проекта по специальности "Специализированные компьютерные системы". Проектирование технического устройства. Выбор семейства кристалла, схемотехнического решения. Математическое моделирование.

    методичка [583,6 K], добавлен 25.04.2012

  • Моделирующие программы системы GPSS WORLD. Блоки и транзакты - типы объектов системы. Событийный метод моделирования. Проект моделирования работы в библиотеке, его анализ с помощью среды GPSS WORLD. Описание процесса и метода моделирование системы.

    курсовая работа [227,4 K], добавлен 16.08.2012

  • Разработка программного обеспечения для моделирования процесса абсорбции; расчёт характеристик при варьировании температуры. Требования к программному обеспечению; структуры данных и алгоритмы в программе; дисплейные фрагменты, внешний вид приложения.

    курсовая работа [2,8 M], добавлен 20.11.2012

  • Имитационное моделирование, принципы и алгоритм. Расстояние между строками и вычисление наибольшей общей подпоследовательности. Средства, используемые в разработке, требования к программе. Инструкция пользователю. Структура программы, создающей строки.

    дипломная работа [2,7 M], добавлен 25.05.2015

  • Расчет параметров моделирования в системе Fortran. Описание алгоритма и математической модели системы, их составляющих. Моделирование шума с заданной плотностью распределения вероятностей. Выполнение моделирования работы системы при входном сигнале N(t).

    курсовая работа [896,3 K], добавлен 20.06.2012

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.