Цифровой автомат Мура

Структура и методика проектирования цифровых автоматов. Синтез автомата Мура с памятью на D-триггерах и комбинационной схемой на мультиплексоре; на регистре и комбинационной схемой на логических элементах; на ПЗУ. Формирование выходных сигналов автомата.

Рубрика Программирование, компьютеры и кибернетика
Вид реферат
Язык русский
Дата добавления 24.03.2009
Размер файла 753,0 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

6

Содержание

Введение…………………………………………………………………………...3

1 Структура и методика проектирования цифровых автоматов ………………5

1.1 Цифровой автомат ………………………...………………..………………...5

1.2 Проектирование и структурный синтез цифровых автоматов……………..7

2 Обзор основных типов функциональных узлов……………….…………….10

2.1 Функциональные узлы комбинационного типа…………….……………..10

2.2 Анализ триггеров…………………………………………………………….11

2.3 Анализ функциональных узлов последовательностного характера……...12

3 Синтез автомата Мура с памятью на D-триггерах и комбинационной схемой на мультиплексоре.…………………………………...………………...14

3.1 Алгоритм функционирования цифрового автомата в микрокомандах…..14

3.2 Граф функционирования цифрового автомата и таблица переходов…....15

3.3 Определение и кодирование состояний автомата…………………………16

3.4 Построение структурной таблицы автомата и таблицы выходов………..17

3.5 Функции возбуждения триггеров и формирование выходных сигналов..18

3.6 Построение функциональной схемы……………………………..………...19

4 Синтез автомата Мура с памятью на регистре и комбинационной схемой на логических элементах ……………………….….……………….……………...20

4.1 Определение и кодирование состояний автомата…………………………20

4.2 Построение структурной таблицы автомата и таблицы выходов………..20

4.3 Построение и минимизация функций переходов и выходов……………..22

4.4 Построение функциональной схемы........................................................26

5 Cинтез автомата Мура на ПЗУ...………………………………….……….….27

5.1 Таблица прошивки ПЗУ…………………………………………..…………27

5.2 Функциональная схема……………………………………………………...29

Заключение………………………………………….……………………………30

Список использованных источников……………………………….…………..31

Приложение А - Граф схема автомата…………………………………………32

Приложение Б - Отмеченная граф схема автомата……………………………33

Введение

В настоящее время важную роль играют цифровые методы обработки информации. В основе этой лежит, более высокая надежность цифровых систем, которые выполняются, как правило, средствами полупроводниковой или совмещенной технологии. Другим фактором, предопределившим широкое применение цифровой аппаратуры, является уменьшение уровней сигналов и соответствующее уменьшение рассеиваемой мощности. Немаловажное значение имеет и более высокая точность преобразования и обработки информации в цифровых устройствах по сравнению с аналоговыми. Сказывается и рост быстродействия цифровых узлов на интегральных схемах, обусловленный прогрессом технологий и уменьшением длинны электрических связей в миниатюрных устройствах. По указанным причинам цифровые устройства на интегральных схемах при кажущемся увеличении оборудования (в пересчете на элементы) во многих случаях имеют по сравнению с аналоговыми более высокую надежность, потребляют меньшую мощность, обладают большим быстродействием, имеют меньшие размеры и требуют меньше расходов на эксплуатацию и ремонт. В связи с этим непрерывно расширяется область применения цифровых систем - технических средств, выполняющих законченный процесс обработки цифровой информации, включающий ее прием, хранение, необходимые преобразования и выдачу.

Потребность в вычислениях возникла у людей на самых ранних стадиях развития человеческого общества. Причем с самого начала для облегчения счета люди использовали различные приспособления. Многие из них были весьма интересными и остроумными по принципу действия, но все они обязательно требовали, чтобы в процессе вычислений активно участвовал человек-оператор. Качественно новый этап развития вычислительной техники наступил с изобретением и созданием электронных вычислительных машин, которые работают автоматически, без участия человека, в соответствии с заранее заданной программой. Появление таких машин вызвано объективными условиями современного развития науки, техники и народного хозяйства. Во многих областях человеческой деятельности уже в середине ХХ века объем, и сложность вычислительных работ настолько возросли, что решение некоторых задач без применения вычислительной техники было бы практически не возможным. В настоящее время электронные вычислительные машины применяются во многих областях науки, техники и народного хозяйства. В основном они используются: для решения сложных математических и инженерных задач, в качестве управляющих машин в промышленности и военной технике, в сфере обработки информации.

Теория автоматов - неотъемлемая часть кибернетики. Благодаря этой науке происходит проектирование и разработки сложных цифровых автоматов.

На стыке микроэлектроники, цифровой техники и теории автоматов развивается самостоятельная область науки и техники - цифровая микросхемотехника, предметом которой является принципы и методы схемотехнического проектирования цифровых интегральных микросхем и автоматов, которые включают в себя разработку их структуры (функционально-логическое проектирование) и электрической схемы (схемное проектирование).

В соответствии с заданием в данном курсовом проекте необходимо синтезировать микропрограммный цифровой автомат по алгоритму функционирования цифрового автомата в микрокомандах.

1 Структура и методика проектирования цифровых автоматов

1.1 Цифровой автомат

Цифровой автомат - устройство, характеризующееся набором внутренних состояний в которое оно попадет под воздействием команд заложенной в него программы. Переход автомата из одного состояния в другое осуществляется в определенный момент времени.

Математической моделью ЦА (а в общем случае любого дискретного устройства) является так называемый абстрактный автомат, определенный как 6-компонентный кортеж: S=(A,Z,W,,,а1), расшифровка которого представлена ниже.

1. A={a1, a2, ... ,am} - множество состояний (внутренний алфавит)

2. Z={z1, z2, ... ,zf} - множество входных сигналов (входной алфавит)

3. W={w1, w2, ..., wg} - множество выходных сигналов (выходной алфавит)

4. : AZA - функция переходов, реализующая отображение D АZ в А. Иными словами функция некоторым парам состояние - входной сигнал (аm, zf) ставит в соответствие состояния автомата аs= (am, zf), asA.

5. : AZW - функция выходов, реализующая отображение D АZ на W. Функция некоторым парам состояние - входной сигнал (аm, zf) ставит в соответствие выходные сигналы автомата Wg=(аm, zf) , WgW.

6. 6. ai A - начальное состояние автомата.

Под алфавитом здесь понимается непустое множество попарно различных символов. Элементы алфавита называются буквами, а конечная упорядоченная последовательность букв - словом в данном алфавите.

Рисунок 1 - Абстрактный автомат

Абстрактный автомат, изображенный на рисунке 1 имеет один вход и один выход. Автомат работает в дискретном времени, принимающем целые неотрицательные значения t = 0,1,2,... В каждый момент t дискретного времени автомат находится в некотором состоянии a(t) из множества состояний автомата, причем в начальный момент t = 0 он всегда находится в начальном состоянии a(0)=a1. В момент t, будучи в состоянии a(t), автомат способен воспринять на входе букву входного алфавита z(t) Z. В соответствии с функцией выходов он выдаст в тот же момент времени t букву выходного алфавита W(t)=(a(t), z(t)) и в соответствии с функцией переходов перейдет в следующее состояние a(t+1)=[a(t), z(t)], a(t) A, w(t) W.

Смысл понятия абстрактного автомата состоит в том, что он реализует некоторое отображение множества слов входного алфавита Z во множество слов выходного алфавита W. Т.е. если на вход автомата, установленного в начальное состояние a1, подавать буква за буквой некоторую последовательность букв входного алфавита z(0), z(1),... - входное слово, то на выходе автомата будут последовательно появляться буквы выходного алфавита w(0), w(1),... - выходное слово. Т.о. выходное слово = (входное слово), где - отображение, осуществляемое абстрактным автоматом.

На уровне абстрактной теории понятие "работа автомата" понимается как преобразование входных слов в выходные. Можно сказать, что в абстрактном автомате отвлекаемся от его структуры - содержимого прямоугольника, рассматривая его как "черный ящик", т.е. основное внимание уделяем поведению автомата относительно внешней среды.

Понятие состояния в определении автомата введено в связи с тем, что часто возникает необходимость в описании поведения систем, выходы которых зависят не только от состояния входов в данный момент времени, но и от некоторой предыстории, т.е. от сигналов, которые поступали на входы системы ранее. Состояния как раз и соответствуют некоторой памяти о прошлом, позволяя устранить время как явную переменную и выразить выходной сигнал как функцию состояния и входа в данный момент времени.

На практике наибольшее распространение получили два класса автоматов - автоматы Мили (Mealy) и Мура (Moore).

Закон функционирования автомата Мили задается уравнениями: a(t+1) = (a(t), z(t)); w(t) = (a(t), z(t)), t = 0,1,2,...

Закон функционирования автомата Мура задается уравнениями: a(t+1)=(a(t), z(t)); w(t) = (a(t)), t = 0,1,2,...

Из сравнения законов функционирования видно, что, в отличие от автомата Мили, выходной сигнал в автомате Мура зависит только от текущего состояния автомата и в явном виде не зависит от входного сигнала. Для полного задания автомата Мили или Мура дополнительно к законам функционирования, необходимо указать начальное состояние и определить внутренний, входной и выходной алфавиты.

1.2 Проектирование и структурный синтез цифровых автоматов

Конечный цифровой автомат, реализующий микропрограмму работы дискретного устройства называют микропрограммным автоматом.

Проектирование цифрового автомата осуществляется в несколько этапов, приведенных ниже.

1. Первый этап- получение алгоритма функционирования цифрового автомата в микрокомандах.

2. Второй этап- построение графа функционирования цифрового автомата или таблицы прямого либо обратного перехода.

3. Третий этап- построение структурной таблицы цифрового автомата.

4. Четвертый этап- реализация цифрового автомата в конкретно заданном базисе.

Основной задачей теории структурного синтеза автоматов является нахождение общих приемов построения структурных схем автоматов, принадлежащих к заранее заданному типу автоматов.

Целью структурного синтеза является построение схемы, реализующей автомат из логических элементов заданного типа. В структурном автомате учитывается структура входных и выходных сигналов автомата, а также его внутреннее устройство на уровне структурных схем. На этапе структурного синтеза предварительно выбираются элементарные автоматы, из которых затем путем их композиции строится структурная схема автомата Мили или Мура.

Если решение задачи структурного синтеза существует, говорят, что заданная система автоматов структурно полна. Теоретическим обоснованием канонического метода структурного синтеза автоматов является теорема о структурной полноте: всякая система элементарных автоматов, которая содержит автомат Мура с нетривиальной памятью, обладающий полной системой переходов и полной системой выходов, и какую-либо функционально полную систему логических элементов, является структурно полной.

Существует общий конструктивный прием - канонический метод структурного синтеза, позволяющий в рассматриваемом случае свести задачу структурного синтеза произвольных автоматов к задаче синтеза комбинационных схем.

Результатом канонического метода структурного синтеза является система логических уравнений, выражающая зависимость выходных сигналов автомата и сигналов, подаваемых на входы запоминающих элементов, от сигналов, приходящих на вход автомата в целом, и сигналов, снимаемых с выхода элементов памяти.

Для правильной работы схем, очевидно, нельзя разрешать, чтобы сигналы на входе запоминающих элементов непосредственно участвовали в образовании выходных сигналов, которые по цепям обратной связи подавались бы в тот же самый момент времени на эти входы. В связи с этим запоминающими элементами должны быть не автоматы Мили, а автоматы Мура.

Таким образом, структурно полная система элементарных автоматов должна содержать хотя бы один автомат Мура. В то же время для синтеза любых автоматов с минимальным числом элементов памяти необходимо в качестве таких элементов выбирать автоматы Мура, имеющие полную систему переходов и полную систему выходов - так называемые полные автоматы.

2 Обзор основных типов функциональных узлов

2.1 Функциональные узлы комбинационного типа

Процесс проектирования функциональных узлов комбинационного типа разбивается на несколько последовательно выполняемых этапов, приведенных ниже.

1. Выбор элементной базы и способа реализации.

2. Минимизация заданной логической функции.

3. Преобразование минимизированной логической функции и синтез логической схемы.

4. Синтез электрической схемы.

5. Анализ и оптимизация электрической схемы.

В данной работе передо мной была поставлена четкая задача: реализовать работу цифрового автомата с использованием мультиплексоров и логических элементов.

Мультиплексором называется функциональный узел, обеспечивающий передачу информации, поступающей по нескольким входным линиям связи на одну выходную линию. Выбор той или иной входной линии Ai осуществляется в соответствии с поступающим адресным кодом S0,S1,… При наличии n адресных входов можно реализовать M=2n комбинаций адресных сигналов Si, каждая из которых обеспечивает выбор одной из М входных линий.

После получения минимизированных функций конъюнкции реализуются на логических элементах (2-И,3-И,4-И), а дизъюнкции реализуются на мультиплексорах.

2.2 Анализ триггеров

Помимо логических элементов для построения цифровых систем требуются элементы памяти, осуществляющие хранение двоичной информации в течение требуемого времени. В зависимости от способа хранения информации элементы памяти делятся на статические и динамические. В качестве элемента памяти в цифровых микросхемах используется бистабильная ячейка, представляющая собой два инвертирующих логических элемента, соединенных перекрестными связями: прямой или обратной.

В качестве элементов памяти структурного автомата обычно используются триггеры.

Триггер - это устройство, имеющее два устойчивых состояния, в которые он переходит под действием определённых входных сигналов.

Обычно в триггерах выделяют два вида входных сигналов (и соответственно входов): информационные и синхросигналы.

Информационные сигналы определяют новое состояние триггера и присутствуют в любых триггерах. По типу информационных сигналов осуществляется классификация триггеров: D, T, RS, JK, RST, DV и т.д.

Синхросигнал не является обязательным и вводится в триггерах с целью фиксации момента перехода триггера в новое состояние, задаваемое информационными входами. Обычно, при синтезе ЦА используются триггеры с синхровходом, поэтому в дальнейшем будем рассматривать только такие триггеры.

На синхровход триггера поступают тактирующие импульсы задающего генератора, синхронизирующего работу ЦА. Период следования импульсов соответствует одному такту автоматного времени ЦА.

В данной работе необходимо в качестве элементов памяти использовать D-триггер.

В D-триггере отсутствует состояние, соответствующее режиму хранения. D-триггеры бывают только синхронными и после поступления синхросигнала устанавливается в состояние Qn+1 = D

Это выражение является характеристическим уравнением D-триггера. D-триггер выполняет функцию задержки информации, поступающей на управляющий вход D, на один период синхросигналов (такт машинного времени).

2.3 Анализ функциональных узлов последовательностного характера

Для построения цифровых систем кроме комбинационных узлов требуются функциональные узлы последовательностного типа (конечные автоматы), логическое состояние которых определяется последовательностью поступления входных сигналов.

Основными типами конечных автоматов являются регистры, счетчики и генераторы кодов.

Передо мной была поставлена задача синтезировать микропрограммный автомат с использованием регистров.

Регистром называется функциональный узел, выполняющий хранение операндов и их сдвиг на определенное число разрядов.

Регистры являются наиболее распространенным типом последовательностных узлов в современных цифровых системах.

Регистр - это операционный элемент, служащий для запоминания слов и обеспечивающий в общем случае выполнение следующих микрооперации, перечисленных ниже.

Установка регистра в 0 (сброс).

Прием слова из другого регистра, шины и т.д.

Передача слова на другой регистр, шину и т.д.

Преобразование кодов хранимых слов в инверсные коды.

Сдвиг хранимого слова влево или вправо на требуемое число разрядов.

Регистр, выполняющий такие микрооперации, называется многофункциональным. Т.к. регистр предназначен для хранения информации, то он содержит элементы памяти, в качестве которых используются триггеры. Количество триггеров определяет разрядность регистра.

3 Синтез автомата Мура с памятью на D-триггерах и комбинационной схемой на мультиплексоре

3.1 Граф схема алгоритма функционирования цифрового автомата в микрокомандах

Наиболее наглядно изображать микропрограммы и алгоритмы в виде ориентированного графа, т.н. граф схемы алгоритма (ГСА). Кроме наглядности это дает возможность использовать для анализа и преобразования микропрограмм эффективные методы теории графов. При графическом описании отдельные функции алгоритмов (микрооперации) отображаются в виде условных графических изображений - вершин. Сама микропрограмма и ее граф должны быть корректны, т.е. отвечать следующим условиям:

1. В графе должна быть только одна начальная и одна конечная вершина.

2. В любую вершину графа должен вести, по крайней мере, один путь из начальной вершины.

3. Из каждого выхода любой вершины графа должен существовать, по крайней мере, один путь в конечную вершину.

4. При всех возможных значениях логических условий и используемых слов должен существовать путь из начальной вершины в конечную.

В приложении А изображена ГСА заданного автомата, нам необходимо расставить вершины автомата.

В автомате Мура отмечаются непосредственно сами операторные вершины.

1. а0 отмечаем выход начальной, а также вход конечной вершин.

2. а1 … an отмечаем входы всех операторных вершин.

3. Различные операторные вершины отмечаются различными символами.

4. Входы различных вершин за исключением начальной и конечной отмечаются различными символами.

Получившаяся граф схема изображена в приложении Б.

3.2 Граф функционирования цифрового автомата и таблица переходов

Граф функционирование цифрового автомата представлен на рисунке 2. Он отражает возможные переходы цифрового автомата.

В узлах графа записываются состояния автомата и выходы (Y1,Y2,Y3,Y4), стрелками показаны возможные переходы. Над стрелками указаны условия перехода. Выделенные стрелки соответствуют безусловным переходам.

Рисунок 2-Граф функционирования автомата

Для отображения переходов цифрового автомата, на практике, чаще всего вместо графа функционирования применяют таблицу переходов, представленной в таблице 1.

Таблица 1- Таблица переходов

Исходное сост-е

Условие

След. Сост-е

1

2

3

а0

x1

а1

а0

x1'x2'

а2

а0

x1'x2x3

а3

а0

x1'x2x3'

а4

а1

x3

а3

а1

x3'

а4

а2

1

а5

а3

x2'

а6

1

2

3

а3

x2

а7

а4

x1

а5

а4

x1'

а7

а5

x3'

а5

а5

x3

а0

а6

1

а0

а7

x3'

а5

а7

x3

а0

3.3 Определение и кодирование состояний автомата

Для кодирования состояния автоматов используются D-триггеры. Необходимое количество триггеров (n) выбирается из соотношения 2n *N, где N - количество состояния автоматов. Для N=5, n = 3. Каждому состоянию автомата поставим в соответствие комбинацию состояний триггеров. В нашем случаи нам необходимо 3 элемента памяти. Кодирование состояний представлено в таблице 2.

Таблица 2- Кодирование состояний автомата

Состояние

автомата

Состояние триггеров

Q1

Q2

Q3

а0

0

0

0

а1

0

0

1

а2

0

1

0

а3

0

1

1

а4

1

0

0

а5

1

0

1

а6

1

1

0

а7

1

1

1

3.4 Построение структурной таблицы автомата и таблицы выходов

Функционирование цифрового автомата представлено в таблице 3.

Таблица 3 - Структурная таблица автомата

Исходное сост-е

Условие

След. Сост-е

Функция возбуждения

1

2

3

4

Q1Q2Q3

Q1Q2Q3

D1

D2

D3

000

x1

001

0

0

1

1

2

3

4

000

x1'x2'

010

0

1

0

000

x1'x2x3

011

0

1

1

000

x1'x2x3'

100

1

0

0

001

x3

011

0

1

1

001

x3'

100

1

0

0

010

1

101

1

0

1

011

x2'

110

1

1

0

011

x2

111

1

1

1

100

x1

101

1

0

1

100

x1'

111

1

1

1

101

x3'

101

1

0

1

101

x3

000

0

0

0

110

1

000

0

0

0

111

x3'

101

1

0

1

111

x3

000

0

0

0

Зависимость выходов от исходного состояния представлена в таблице 4.

Таблица 4 - Таблица выходов

Исходное состояние

Выходы

Метка

Код

Y1

Y2

Y3

Y4

b0

000

0

0

0

0

b1

001

1

1

0

0

b2

010

0

1

1

0

b3

011

0

0

0

1

b4

100

0

1

1

0

b5

101

1

0

0

1

b6

110

0

1

1

1

b7

111

0

1

0

0

3.5 Функции возбуждения триггеров и формирование выходных сигналов

Запишем логические выражения для сигналов Y, представленных ниже.

1. Y1= Q1'Q2'Q3 v Q1Q2'Q3

2. Y2= Q1'Q2'Q3 v Q1'Q2Q3' v Q1Q2'Q3' v Q1Q2Q3' v Q1Q2Q3

3. Y3= Q1'Q2Q3' v Q1Q2'Q3' v Q1Q2Q3'

4. Y4= Q1'Q2Q3 v Q1Q2'Q3 v Q1Q2Q3'

Сигналы управления триггеров запишем как простую дизъюнкцию конъюнкцией текущего состояния и условия перехода при которых эти сигналы получаются.

D1= Q1'Q2'Q3'(x1'x2x3') v Q1'Q2'Q3(x3') v Q1'Q2Q3' v Q1'Q2Q3 v Q1Q2'Q3' v Q1Q2'Q3(x3') v Q1Q2Q3(x3')

D2= Q1'Q2'Q3'(x1'x2' v x1'x2x3) v Q1'Q2'Q3(x3) v Q1'Q2Q3 v Q1Q2'Q3'(x1')

D3= Q1'Q2'Q3'(x1 v x1'x2x3) v Q1'Q2'Q3(x3) v Q1'Q2Q3' v Q1'Q2Q3(x2) v Q1Q2'Q3' v Q1'Q2'Q3(x3') v Q1Q2Q3(x3')

3.6 Построение функциональной схемы

Имея известными функции возбуждения триггеров и сформированные функции выходных сигналов построим функциональную схему цифрового автомата с использованием D-триггеров, мультиплексоров и логических элементов. Функциональная схема показана в приложении В. Проверка схемы в редакторе ElectronicWorkBanch представлена в приложении Г.

4 Синтез автомата Мура с памятью на регистре и комбинационной схемой на мультиплексорах

4.1 Определение и кодирование состояний автомата

Для кодирования состояний автомата обратимся к графу функционирования цифрового автомата, изображенного на рисунке 2. Найдем в этом графе самый длинный маршрут.

а1 - а4 - а7 - а5 - а0 - а3 - а6 - а2

После этого можно приступить к кодировки учитывая регистровую последовательность счета: 0 - 1 - 3 - 7 - 6 - 5 - 2 - 4.

Получаем таблицу 5 кодирование состояний автомата.

Таблица 5 - Кодирование состояний автомата

Состояние автомата

Состояние триггеров

Q1

Q2

Q3

а1

0

0

0

а4

1

0

0

а7

0

1

0

а5

1

0

1

а0

1

1

0

а3

1

1

1

а6

0

1

1

а7

0

0

1

4.2 Построение структурной таблицы автомата и таблицы выходов

Имея уже закодированные состояния цифрового автомата составляем структурную таблицу, представленной в таблице 6.

Таблица 6 - Структурная таблица

Исходное

Условие

Следующее

Функция перехода

Выходы

1

2

3

4

5

Q1

Q2

Q3

X1

X2

X3

Q1

Q2

Q3

SR

D0

D1

D2

Clr

S0

S1

Y1

Y2

Y3

Y4

0

0

0

0

0

1

1

1

1

*

1

1

1

1

1

1

1

1

0

0

0

0

0

0

1

1

1

1

1

*

1

1

1

1

1

1

1

1

0

0

0

0

0

1

0

1

1

1

1

*

1

1

1

1

1

1

1

1

0

0

0

0

0

1

1

1

1

1

1

*

1

1

1

1

1

1

1

1

0

0

0

0

0

0

0

0

1

0

0

1

*

*

*

1

1

0

1

1

0

0

0

0

0

0

1

0

1

0

0

1

*

*

*

1

1

0

1

1

0

0

0

0

0

1

0

0

1

0

0

1

*

*

*

1

1

0

1

1

0

0

0

0

0

1

1

0

1

0

0

1

*

*

*

1

1

0

1

1

0

0

1

0

0

1

0

0

1

0

1

*

1

0

1

1

1

1

0

1

1

0

1

0

0

1

0

1

1

0

1

*

1

0

1

1

1

1

0

1

1

0

1

0

0

1

1

0

1

0

1

*

1

0

1

1

1

1

0

1

1

0

1

0

0

1

1

1

1

0

1

*

1

0

1

1

1

1

0

1

1

0

1

0

0

0

0

0

0

1

0

0

*

*

*

1

1

0

0

1

1

0

1

0

0

0

0

1

0

1

0

0

*

*

*

1

1

0

0

1

1

0

1

0

0

0

1

0

0

1

0

0

*

*

*

1

1

0

0

1

1

0

1

0

0

0

1

1

0

1

0

0

*

*

*

1

1

0

0

1

1

0

0

1

0

0

0

0

1

0

1

1

*

*

*

1

1

0

0

1

0

0

0

1

0

0

1

0

1

0

1

1

*

*

*

1

1

0

0

1

0

0

0

1

0

1

0

0

1

0

1

1

*

*

*

1

1

0

0

1

0

0

0

1

0

1

1

0

1

0

1

1

*

*

*

1

1

0

0

1

0

0

0

1

0

0

0

1

1

1

0

*

1

1

0

1

1

1

0

1

0

0

0

1

0

0

1

1

1

1

0

*

1

1

0

1

1

1

0

1

0

0

0

1

0

1

0

1

1

1

0

*

1

1

0

1

1

1

0

1

0

0

0

1

0

1

1

1

1

1

0

*

1

1

0

1

1

1

0

1

0

0

1

0

1

0

0

0

1

0

1

*

1

0

1

1

1

1

1

0

0

1

1

0

1

0

1

0

1

0

1

*

1

0

1

1

1

1

1

0

0

1

1

0

1

1

0

0

1

0

1

*

1

0

1

1

1

1

1

0

0

1

1

0

1

1

1

0

1

0

1

*

1

0

1

1

1

1

1

0

0

1

1

0

1

0

0

1

1

1

0

1

*

*

*

1

1

0

1

0

0

1

1

0

1

0

1

1

1

1

0

1

*

*

*

1

1

0

1

0

0

1

1

0

1

1

0

1

1

1

0

1

*

*

*

1

1

0

1

0

0

1

1

0

1

1

1

1

1

1

0

1

*

*

*

1

1

0

1

0

0

1

1

1

0

1

0

0

0

0

0

*

0

0

0

1

1

1

0

0

0

0

1

1

0

1

0

1

0

0

0

*

0

0

0

1

1

1

0

0

0

0

1

1

0

1

1

0

0

0

0

*

0

0

0

1

1

1

0

0

0

0

1

1

0

1

1

1

0

0

0

*

0

0

0

1

1

1

0

0

0

0

1

1

0

0

0

0

0

0

1

*

0

0

1

1

1

1

0

0

0

0

1

1

0

0

0

1

0

0

1

*

0

0

1

1

1

1

0

0

0

0

1

1

0

0

1

1

1

1

1

1

*

*

*

1

1

0

0

0

0

0

1

1

0

0

1

0

1

0

0

*

1

0

0

1

1

1

0

0

0

0

1

1

1

0

0

0

0

1

1

0

*

*

*

1

1

0

0

0

0

1

1

1

1

0

0

1

0

1

1

0

*

*

*

1

1

0

0

0

0

1

1

1

1

1

0

0

0

1

1

0

*

*

*

1

1

0

0

0

0

1

1

1

1

1

0

1

0

1

1

0

*

*

*

1

1

0

0

0

0

1

1

1

1

0

1

0

0

1

0

*

0

1

0

1

1

1

0

0

0

1

1

1

1

0

1

1

0

1

0

*

0

1

0

1

1

1

0

0

0

1

1

1

1

1

1

0

0

1

0

*

0

1

0

1

1

1

0

0

0

1

1

1

1

1

1

1

0

1

0

*

0

1

0

1

1

1

0

0

0

1

0

1

1

0

0

0

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

1

1

0

0

1

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

1

1

0

1

0

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

1

1

0

1

1

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0

0

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

1

1

1

1

0

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

1

1

1

1

1

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

0

1

0

0

0

1

0

1

*

1

0

1

1

1

1

0

1

1

0

0

0

1

0

0

1

1

0

1

*

1

0

1

1

1

1

0

1

1

0

0

0

1

0

1

0

1

0

1

*

1

0

1

1

1

1

0

1

1

0

0

0

1

0

1

1

1

0

1

*

1

0

1

1

1

1

0

1

1

0

0

0

1

1

0

0

1

0

1

*

1

0

1

1

1

1

0

1

1

0

0

0

1

1

0

1

1

0

1

*

1

0

1

1

1

1

0

1

1

0

0

0

1

1

1

0

1

0

1

*

1

0

1

1

1

1

0

1

1

0

0

0

1

1

1

1

1

0

1

*

1

0

1

1

1

1

0

1

1

0

4.3 Построение и минимизация функций переходов и выходов

В получившейся структурной таблице нам необходимо составить функции, которые будут поданы на входы регистра, а именно D0, D1, D2, PE, Si. Исходя из таблицы нам необходимо для построения этих функций определить функциональную зависимость исходных состояний и условий перехода. Для упрощения вычислений минимизируем функции перехода, пользуясь методом карт Карно.

При использовании метода карт Карно производится накрытие с помощью правильных конфигураций содержащих нули или единицы. Правильными конфигурациями на карте Карно для функции от n переменных являются все прямоугольники (горизонтальные, вертикальные, квадраты), имеющие площадь 2n-i (i = 0, 1, 2, … , n).

При накрытии функции стремятся, чтобы число накрытий на карте было минимально, а площадь, накрываемая каждой правильной конфигурацией - максимальна. Конфигурации могут перекрываться, накладываться друг на друга. При выборе накрытия возможно объединение крайних полей, расположенных на противоположных сторонах карты, в горизонтальном и вертикальном направлениях. Принцип минимизации заключается в объединении соседних полей карты в пределах правильных конфигураций. При нахождении минимальной формы функции, выписываются переменные, не изменяющие своего значения в пределах правильной конфигурации.

При объединении полей в которых записаны единицы, функции выписывается в ДНФ, т.е. в виде дизъюнкции произведений переменных неизменных в пределах каждой конфигурации накрытия.

Карта Карно для минимизации функции D0 представлена в таблице 7.

Таблица 7 - Карта Карно для минимизации функции D0

000

001

011

010

110

111

101

100

000

0

*

1

0

0

1

1

1

001

0

0

1

0

0

0

0

1

011

0

0

1

0

0

0

0

1

010

*

*

1

0

0

1

1

1

110

0

*

1

0

0

1

1

1

111

0

0

1

0

0

0

0

1

101

0

0

1

0

0

0

0

1

100

0

*

1

0

0

1

1

1

Полученная минимизированная функция, представлена ниже.

D0 = Q1' v Q2' v Q3'x1'x2

Карта Карно для минимизации функции D1 представлена в таблице 8.

Таблица 8 - Карта Карно для минимизации функции D1

000

001

011

010

110

111

101

100

000

1

*

1

*

0

0

0

1

001

1

1

1

*

0

0

0

1

011

1

1

1

*

0

0

0

1

010

*

*

1

*

0

0

0

1

110

0

*

1

*

0

0

0

0

111

0

1

1

*

0

0

0

0

101

0

1

1

*

0

0

0

0

100

0

*

1

*

0

0

0

0

Полученная минимизированная функция, представлена ниже.

D1 = Q1'Q2'Q3' v Q1'Q2 v Q1Q2Q3

Карта Карно для минимизации функции D2 представлена в таблице 9.

Таблица 9 - Карта Карно для минимизации функции D2

000

001

011

010

110

111

101

100

000

0

*

0

*

0

1

1

1

001

0

1

0

*

0

0

0

1

011

1

1

1

*

0

0

0

1

010

*

*

1

*

0

1

1

1

110

1

*

1

*

0

1

1

1

111

1

1

1

*

0

0

0

1

101

1

1

0

*

0

0

0

1

100

1

*

0

*

0

1

1

1

Полученная минимизированная функция, представлена ниже.

D2= Q2' v Q1x1'x2'

Карта Карно для минимизации функции SR представлена в таблице 10.

Таблица 10 - Карта Карно для минимизации функции SR

000

001

011

010

110

111

101

100

000

1

*

*

1

*

0

*

0

001

*

*

*

*

*

0

1

0

011

*

*

*

*

1

*

1

0

010

1

*

*

1

*

*

*

0

110

1

*

*

1

*

*

*

*

111

*

*

*

*

*

*

1

*

101

*

*

*

*

*

0

1

*

100

1

*

*

1

*

0

*

*

Полученная минимизированная функция, представлена ниже.

SR = Q1' v Q2Q3' v Q2'Q3

Карта Карно для минимизации функции S1 представлена в таблице 11.

Таблица 11 - Карта Карно для минимизации функции S1

000

001

011

010

110

111

101

100

000

0

1

1

0

1

1

1

0

001

1

1

1

1

1

0

0

0

011

1

1

1

1

0

0

0

0

010

0

1

1

0

1

1

1

0

110

0

1

1

0

1

1

1

1

111

1

1

1

1

1

0

0

1

101

1

1

1

1

1

0

0

1

100

0

1

1

0

1

1

1

1

Полученная минимизированная функция, представлена ниже.

S1 = Q1'Q3 v Q1'x3 v Q1Q2Q3'x2' v Q3x2'x3' v Q1Q3'x1 v Q1Q2x2x3' v Q1x1x3' v Q1x1x3' v Q1Q2'Q3x3'

Полученные логические выражения для сигналов Y, представлены ниже.

1. Y1 = Q1'Q2'Q3' v Q1Q2'Q3

2. Y2 = Q1'Q2'Q3' v Q1Q2'Q3' v Q1'Q2Q3' v Q1'Q2Q3' v Q1'Q2Q3 v Q1'Q2'Q3

3. Y3 = Q1'Q3 v Q1Q2'Q3'

4. Y4 = Q2Q3 v Q1Q3

4.4 Построение функциональной схемы

Имея известными функции возбуждения регистра и сформированные функции выходных сигналов построим функциональную схему цифрового автомата с использованием регистра и логических элементов. Функциональная схема показана в приложении Д. Проверка схемы представлена в приложении Е.

5 Синтез автомата Мура на ПЗУ

5.1 Таблица прошивки ПЗУ

На основании данных таблицы 6 составим таблицу прошивки ПЗУ - таблицу 12.

В таблице входам ПЗУ соответствуют исходные состояния и условия перехода, а именно входу А0 на ПЗУ соответствует Q0, A1 - Q1, A2 - Q3, A3 - X1, A4 - X2, A5 - X3; выходам ПЗУ соответствуют входы регистра (D0, D1, D2, PE, Si) и выходные функции автомата, а именно выходу ПЗУ D0 соответствует вход регистра D0, D1 - D1, D2 - D2, D3 - PE, D4 - Si, D5 - Y1, D6 - Y2, D7 - Y3, D8 - Y4.

Таблица 12 - Таблица прошивки ПЗУ

Исходное

Условие

Следующее

Функция перехода

Выходы

1

2

3

4

5

A0

A1

A2

A3

A4

A5

D0

D1

D2

D3

D0

D1

D2

D3

D0

D1

D2

ПЗУ 1

ПЗУ 2

ПЗУ 3

Q1

Q2

Q3

X1

X2

X3

Q1

Q2

Q3

SR

D0

D1

D2

Clr

S0

S1

Y1

Y2

Y3

Y4

0

0

0

0

0

1

1

1

1

*

1

1

1

1

1

1

1

1

0

0

0

0

0

0

1

1

1

1

1

*

1

1

1

1

1

1

1

1

0

0

0

0

0

1

0

1

1

1

1

*

1

1

1

1

1

1

1

1

0

0

0

0

0

1

1

1

1

1

1

*

1

1

1

1

1

1

1

1

0

0

0

0

0

0

0

0

1

0

0

1

*

*

*

1

1

0

1

1

0

0

0

0

0

0

1

0

1

0

0

1

*

*

*

1

1

0

1

1

0

0

0

0

0

1

0

0

1

0

0

1

*

*

*

1

1

0

1

1

0

0

0

0

0

1

1

0

1

0

0

1

*

*

*

1

1

0

1

1

0

0

1

0

0

1

0

0

1

0

1

*

1

0

1

1

1

1

0

1

1

0

1

0

0

1

0

1

1

0

1

*

1

0

1

1

1

1

0

1

1

0

1

0

0

1

1

0

1

0

1

*

1

0

1

1

1

1

0

1

1

0

1

0

0

1

1

1

1

0

1

*

1

0

1

1

1

1

0

1

1

0

1

0

0

0

0

0

0

1

0

0

*

*

*

1

1

0

0

1

1

0

1

0

0

0

0

1

0

1

0

0

*

*

*

1

1

0

0

1

1

0

1

0

0

0

1

0

0

1

0

0

*

*

*

1

1

0

0

1

1

0

1

0

0

0

1

1

0

1

0

0

*

*

*

1

1

0

0

1

1

0

0

1

0

0

0

0

1

0

1

1

*

*

*

1

1

0

0

1

0

0

0

1

0

0

1

0

1

0

1

1

*

*

*

1

1

0

0

1

0

0

0

1

0

1

0

0

1

0

1

1

*

*

*

1

1

0

0

1

0

0

0

1

0

1

1

0

1

0

1

1

*

*

*

1

1

0

0

1

0

0

0

1

0

0

0

1

1

1

0

*

1

1

0

1

1

1

0

1

0

0

0

1

0

0

1

1

1

1

0

*

1

1

0

1

1

1

0

1

0

0

0

1

0

1

0

1

1

1

0

*

1

1

0

1

1

1

0

1

0

0

0

1

0

1

1

1

1

1

0

*

1

1

0

1

1

1

0

1

0

0

1

0

1

0

0

0

1

0

1

*

1

0

1

1

1

1

1

0

0

1

1

0

1

0

1

0

1

0

1

*

1

0

1

1

1

1

1

0

0

1

1

0

1

1

0

0

1

0

1

*

1

0

1

1

1

1

1

0

0

1

1

0

1

1

1

0

1

0

1

*

1

0

1

1

1

1

1

0

0

1

1

0

1

0

0

1

1

1

0

1

*

*

*

1

1

0

1

0

0

1

1

0

1

0

1

1

1

1

0

1

*

*

*

1

1

0

1

0

0

1

1

0

1

1

0

1

1

1

0

1

*

*

*

1

1

0

1

0

0

1

1

0

1

1

1

1

1

1

0

1

*

*

*

1

1

0

1

0

0

1

1

1

0

1

0

0

0

0

0

*

0

0

0

1

1

1

0

0

0

0

1

1

0

1

0

1

0

0

0

*

0

0

0

1

1

1

0

0

0

0

1

1

0

1

1

0

0

0

0

*

0

0

0

1

1

1

0

0

0

0

1

1

0

1

1

1

0

0

0

*

0

0

0

1

1

1

0

0

0

0

1

1

0

0

0

0

0

0

1

*

0

0

1

1

1

1

0

0

0

0

1

1

0

0

0

1

0

0

1

*

0

0

1

1

1

1

0

0

0

0

1

1

0

0

1

1

1

1

1

1

*

*

*

1

1

0

0

0

0

0

1

1

0

0

1

0

1

0

0

*

1

0

0

1

1

1

0

0

0

0

1

1

1

0

0

0

0

1

1

0

*

*

*

1

1

0

0

0

0

1

1

1

1

0

0

1

0

1

1

0

*

*

*

1

1

0

0

0

0

1

1

1

1

1

0

0

0

1

1

0

*

*

*

1

1

0

0

0

0

1

1

1

1

1

0

1

0

1

1

0

*

*

*

1

1

0

0

0

0

1

1

1

1

0

1

0

0

1

0

*

0

1

0

1

1

1

0

0

0

1

1

1

1

0

1

1

0

1

0

*

0

1

0

1

1

1

0

0

0

1

1

1

1

1

1

0

0

1

0

*

0

1

0

1

1

1

0

0

0

1

1

1

1

1

1

1

0

1

0

*

0

1

0

1

1

1

0

0

0

1

0

1

1

0

0

0

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

1

1

0

0

1

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

1

1

0

1

0

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

1

1

0

1

1

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0

0

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

1

1

1

1

0

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

1

1

1

1

1

1

1

0

*

1

1

0

1

1

1

0

1

1

1

0

0

1

0

0

0

1

0

1

*

1

0

1

1

1

1

0

1

1

0

0

0

1

0

0

1

1

0

1

*

1

0

1

1

1

1

0

1

1

0

0

0

1

0

1

0

1

0

1

*

1

0

1

1

1

1

0

1

1

0

0

0

1

0

1

1

1

0

1

*

1

0

1

1

1

1

0

1

1

0

0

0

1

1

0

0

1

0

1

*

1

0

1

1

1

1

0

1

1

0

0

0

1

1

0

1

1

0

1

*

1

0

1

1

1

1

0

1

1

0

0

0

1

1

1

0

1

0

1

*

1

0

1

1

1

1

0

1

1

0

0

0

1

1

1

1

1

0

1

*

1

0

1

1

1

1

0

1

1

0

5.2 Функциональная схема

Для реализации функциональной схемы необходимо наращивать ПЗУ по горизонтали, потому что количество реализованных функций превышает размерность микросхемы. Функциональная схема представлена в приложении Ж.

Заключение

В результате проделанной работе был синтезирован цифровой автомат мура по функциональному алгоритму представленному в микро командах.

В ходе работы приобретены навыки практического решения задач логического проектирования узлов и блоков ЭВМ. Построены три структурные схемы автомата. Первая схема реализована с использованием D-триггеров (как элементов памяти), и мультиплексоров. Вторая схема реализована на регистре и на логических элементах. Третья схема реализует работу цифрового автомата, выполнена на ПЗУ.

Список использованных источников

1 Савельев А.Я. Прикладная теория цифровых автоматов, Высшая школа -М. 1988.

2 Айтхожаева Е.Ж. Арифметические и логические основы цифровых автоматов Алма-Ата 1980.

3 Айтхожаева Е.Ж. Проектирование управляющего автомата Алма-Ата 1985.

4 Айтхожаева Е.Ж. «Прикладная теория цифровых автоматов» Алма-Ата 1993.

5 Горбатов В.А. Основы дискретной математики. -М 1986.

6 Кузнецов О.П. Дискретная математика для инженеров. -М 1988.

7 Серебряков В.А. Основы конструирования компиляторов. -М. 1999.

8 Основы построения технических средств ЕС ЭВМ на интегральных микросхемах / Под общ.ред Б.Н. Файзулаева. -М.1987.

9 Применение интегральных микросхем в электронно вычеслительной технике: справочник.-М.1987.

10 Голдсуорт Б.В. Проектирование цифровых логических устройств. -М.1985.

11 Аванесян Г.Р. Итегральные микросхемы: справочник.-М.1993.

12 Микросхемотехника: Учебное пособие для ВУЗов. / Под ред. А.Г. Алексенко.-М.1990.

13 Ясен И.П. Курс цифровой электроники.-М.1987.

14 Горячева Г.А. Схемотехника: Справочник.-М.1984.

15 Извозчикова В.В. Методические указания к лабораторному практикуму по курсу «Схемотехника».-Оренбург:ОГУ.2002.

Приложение А

Граф схема автомата

Приложение Б

Отмеченная граф схема автомата


Подобные документы

  • Содержание и особенности этапов синтеза дискретного автомата. Граф переходов-выходов автомата Мура, кодирование входных и выходных сигналов. Построение функциональной схемы автомата Мура на RS–триггерах и элементах И-НЕ в программе Electronic WorkBench.

    курсовая работа [964,2 K], добавлен 20.07.2015

  • Синтез цифрового автомата с комбинационной частью на логических элементах. Реализация спроектированного автомата в виде иерархического блока со схемой замещения на библиотечных компонентах в режиме SPICE–проектов. Разработка абстрактных символов.

    курсовая работа [831,2 K], добавлен 23.09.2013

  • Булевая функция 5 переменных: понятие и содержание, закономерности и принципы функционирования. Порядок расчета значений, минимизация функции. Проектирование автоматов. Автомат Мура, принципы их действия, функциональные особенности и использование.

    контрольная работа [165,3 K], добавлен 21.10.2012

  • Синтез автомата для преобразования двоично-десятичного кода. Кодировка алфавитов и состояний. Построение булевых функций, минимизация. Разметка вход-выходных слов для автомата Мили и автомата Мура. Реализация на элементах малой степени интеграции.

    контрольная работа [141,5 K], добавлен 14.10.2012

  • Разработка функциональной схемы управляющего микропрограммного автомата. Построение графов автомата для модели Мили и Мура. Кодирование состояний для модели Мура на D-триггерах. Алгоритм умножения чисел в дополнительном коде с простой коррекцией.

    курсовая работа [764,0 K], добавлен 27.08.2012

  • Оптимізація схеми мікропрограмного автомата Мура за рахунок нестандартного подання кодів станів. Аналіз методів синтезу автомата та аналіз сучасного елементного базису. Використанні особливостей автомата для зменшення площини матричної схеми автомата.

    презентация [357,0 K], добавлен 16.10.2013

  • Минимизация абстрактного автомата Мили, моделирование его работы. Синтез схемы конечного автомата, микропрограммного автомата и счетчика числа микрокоманд. Разработка цифровой линии задержки. Построение граф-схем исходного и оптимизированного автоматов.

    курсовая работа [823,8 K], добавлен 19.07.2012

  • Граф-схема автомата Мура та Мілі. Структурний синтез автомата Мура. Кодування станів. Функції збудження тригерів та вихідних сигналів. Переведеня у базис. Структурний синтез автомата Мілі. Кодування станів. Функції збудження тригерів та вихідних сигналів.

    курсовая работа [114,6 K], добавлен 28.02.2009

  • Изучение методов построения конечного автомата, распознающего заданный язык, и принципы его программной реализации. Проектирование комбинационной и принципиальной схем распознающего конечного автомата с использованием библиотеки интегральных микросхем.

    дипломная работа [1,8 M], добавлен 18.08.2013

  • Методика минимизации абстрактного автомата. Порядок построения графа полученного минимизированного автомата. Синтез на элементах ИЛИ-НЕ и Т-тригерах. Составление таблицы переходов. Разработка микропрограммного автомата, реализующего микропрограмму.

    курсовая работа [997,7 K], добавлен 28.03.2011

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.