Проектирование управляющих устройств

Условно-графическое обозначение микросхемы. Ее основные логические функции и элементы. Цифровые схемы последовательного типа. Таблица истинности RS-триггера. Функциональная схема синхронизируемого дешифратора, имеющего четыре входа и десять выходов.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык русский
Дата добавления 02.04.2016
Размер файла 1,9 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.


Подобные документы

  • Основные сведения о декодере. Принцип работы дешифратора. Двоичные логические операции с цифровыми сигналами. Способ увеличения количества выходов дешифратора. Проектирование электрической схемы для реализации дешифратора. Изготовление печатной платы.

    дипломная работа [1015,7 K], добавлен 29.12.2014

  • Cущность методики схемотехнического проектирования триггеров, этапы абстрактного и структурного синтеза. Характеристическая таблица функций возбуждения RS-триггера, проектирование печатной платы. Система P-CAD и условно-графическое обозначение элементов.

    курсовая работа [1,4 M], добавлен 22.12.2009

  • Интегральные микросхемы: сведения, классификация, условно-графическое обозначение, маркировка. Условные обозначения микросхем, основные электрические параметры, базовые логические элементы. Регистры, счетчики, дешифраторы, триггеры, аппараты защиты.

    лекция [770,3 K], добавлен 20.01.2010

  • Выполнение синтеза логической схемы цифрового устройства, имеющего 4 входа и 2 выхода. Составление логических уравнений для каждого выхода по таблице истинности. Минимизация функций с помощью карт Карно, выбор оптимального варианта; принципиальная схема.

    практическая работа [24,0 K], добавлен 27.01.2010

  • Общее понятие о триггерах и их разновидность. Основные параметры триггеров и логические элементы. Исследование логических элементов НЕ, Ключ, 2ИЛИ-НЕ. Анализ работы схемы D-триггера. Разработка конструкции стенда, изготовление печатной платы и макета.

    дипломная работа [1,6 M], добавлен 29.12.2014

  • Таблица истинности, функции алгебры логики разрабатываемого цифрового автомата. Функциональная логическая схема устройства. Минимизация функции алгебры логики, представление ее в базисе "И-НЕ". Функциональная схема минимизированных функций Y1 и Y2.

    контрольная работа [2,1 M], добавлен 22.10.2012

  • Развитие микроэлектронной элементной базы. Характеристика цифровых устройств последовательного типа. Функции триггера, импульсного логического устройства с памятью. Регистр как устройство выполнения функции приема, хранения и передачи информации.

    курсовая работа [749,4 K], добавлен 12.05.2015

  • Схема дешифратора для управления семисегментным индикатором. Таблица истинности для семи логических функций. Кодирование двоичным кодом цифр от 0 до 9. Составление дизъюнктивных нормальных форм логических функций. Заполнение диаграмм Вейча, минимизация.

    практическая работа [769,8 K], добавлен 10.06.2013

  • Микроэлектроника - самостоятельное научно-техническое, технологическое направление, исторические этапы. Цифровые интегральные микросхемы: логические основы, кодирование сигналов, классификация; разработка, производство, перспективы развития и применения.

    учебное пособие [1,7 M], добавлен 11.11.2010

  • Исследование и принцип работы арифметико-логического устройства для выполнения логических операций. Условно–графическое обозначение микросхемы регистра. Анализ логической схемы регистра, принцип записи, чтения информации. Проектирование сумматора.

    курсовая работа [879,6 K], добавлен 23.11.2010

  • Изучение структуры и алгоритмов работы асинхронных и синхронных триггеров. Суммирующие и вычитающие счетчики. Изменение коэффициента пересчета счетчиков. Временные диаграммы работы суммирующего счетчика. Логические сигналы на прямом и инверсном выходах.

    лабораторная работа [614,9 K], добавлен 20.06.2011

  • Анализ современного состояния научно-технического уровня по тематике проектирования. Графическое обозначение коммутатора К590КН6 на схеме электрической принципиальной. Функциональная схема коммутатора аналогового сигнала. Расчет на структурном уровне.

    курсовая работа [1,8 M], добавлен 07.11.2012

  • Приближенный расчёт электрических параметров двухвходовой КМОП-схемы дешифратора. Определение значений компонентов топологического чертежа схемы. Проведение схемотехнического анализа с помощью программы T-Spice, с соблюдением заданных технических условий.

    курсовая работа [352,7 K], добавлен 01.07.2013

  • Управляющий цифрового автомат типа Мура. Абстрактный и структурный синтез автомата, построена функциональная схема. Функции выходов и возбуждения элементов памяти. Моделирование на ПК с использованием симулятора ModelSim. Описание автомата на языке VHD.

    курсовая работа [214,2 K], добавлен 07.11.2010

  • Структура универсального триггера. Принцип действия устройства. Выбор и обоснование типов элементов. Корпусы микросхем и выбор в библиотеках DT. Проектирование триггера в САПР DipTrace. Электрическая принципиальная схема универсального триггера.

    курсовая работа [1,3 M], добавлен 15.11.2014

  • Синтез дискретного устройства, его структурная схема. Расчет дешифратора и индикаторов, их проектирование. Карты Карно. Синтез счетной схемы. Делитель частоты. Проектирование конечного автомата и его описание. Анализ сигналов и минимизация автомата.

    курсовая работа [217,8 K], добавлен 21.02.2009

  • Описание схемы триггера. Представление предела счёта в двоичной системе счисления. Десятичный JK-триггер. Определение времени регистрации. К 155 ИЕ 2 как четырёхразрядный десятичный асинхронный счётчик пульсаций, его работа, внутренняя схема микросхемы.

    реферат [127,2 K], добавлен 26.01.2015

  • Знакомство с особенностями выбора элементарной базы проектируемого цифрового устройства. Общая характеристика схемы дешифратора старшего разряда индикатора. Рассмотрение основных способов определения функций возбуждения триггера каждого разряда.

    контрольная работа [509,8 K], добавлен 27.04.2014

  • Проектирование печатной платы для электрической схемы высокочастотного генератора. Порядок создания библиотеки радиоэлектронных компонентов в системе DipTrace. Условно-графическое обозначение резистора. Порядок размещения ЭРЭ на печатной плате в системе.

    курсовая работа [1,1 M], добавлен 19.06.2015

  • Алгоритм работы автомата Мили в табличном виде. Графический способ задания автомата. Синтез автомата Мили на Т-триггерах. Кодирование состояний автомата. Таблицы кодирования входных и выходных сигналов. Таблица переходов и выходов абстрактного автомата.

    курсовая работа [24,7 K], добавлен 01.04.2010

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.