Синтез схемы двоичного сумматора по модулю 10

Электронный компонент ПЛИC, теоретические сведения. Проработка различных вариантов реализации двоичного сумматора. Логический синтез трех вариантов схемы сумматора, выбор схемы, сложность реализации которой на кристаллах ПЛИС является наименьшей.

Рубрика Программирование, компьютеры и кибернетика
Вид курсовая работа
Язык русский
Дата добавления 28.04.2014
Размер файла 1,3 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.


Подобные документы

  • Разработка функциональных схем основных узлов сумматора-умножителя. Минимизация функции алгоритмом Рота. Поиск простых импликант. Минимизация картами Карно-Вейча. Эффективность минимизации. Логический синтез комбинационного устройства с шестью входами.

    контрольная работа [36,3 K], добавлен 31.03.2013

  • Алгоритм реализации арифметической операции и разработка блок-схемы устройства. Составление и минимизация логических выражений работы блоков. Логическая схема регистра, сумматора, сдвига и мультиплексора. Анализ и синхронизация работы устройства.

    курсовая работа [1,2 M], добавлен 27.02.2014

  • Логические узлы как основа устройства компьютера. Логические операции, позволяющие производить анализ получаемой информации и таблицы истинности. Условное высказывание, импликация, эквивалентность. Структура полного одноразрядного двоичного сумматора.

    реферат [211,7 K], добавлен 14.12.2010

  • Правила двоичного сложения. Таблица и схема истинности полусумматора и полного сумматора. Таблица, стуктурная и логическая схема истинности для полувычитателя и полного вычитателя. Использование сумматоров для вычитания. Работа суммирующего устройства.

    учебное пособие [99,7 K], добавлен 06.02.2009

  • Общая структура и принцип функционирования синхронного управляющего автомата. Анализ граф схемы алгоритма управляющего автомата и детализация блока памяти. Структурный синтез логического преобразователя и разработка электрической функциональной схемы.

    курсовая работа [222,6 K], добавлен 19.02.2013

  • Алгоритм умножения двоичных чисел. Выбор и описание структурной схемы операционного автомата. Реализация содержательной граф-схемы алгоритма. Построение отмеченной граф-схемы и структурной таблицы переходов и выходов. Правила кодирования на D-триггерах.

    курсовая работа [273,2 K], добавлен 01.04.2013

  • Исследование элементов на транзисторно-транзисторной логике. Логическая схема одноразрядного и полного сумматора. Оптимизация функции с помощью карты Карно. Синтез двухразрядного компаратора и проверка его работы. Моделирование преобразователей кодов.

    контрольная работа [3,5 M], добавлен 27.03.2016

  • Факторизация покрытия и выбор функциональной схемы ячейки минимальной стоимости. Построение схемы в универсальном базисе. Тип схемы элемента. Перевод в базис ИЛИ-НЕ. Определение исходных данных для расчёта принципиальной схемы логического элемента.

    курсовая работа [704,8 K], добавлен 15.06.2014

  • Анализ вариантов проектных решений и выбор на его основе оптимального решения. Синтез функциональной схемы микропроцессорной системы на основе анализа исходных данных. Процесс разработки аппаратного и программного обеспечения микропроцессорной системы.

    курсовая работа [469,1 K], добавлен 20.05.2014

  • Функциональная организация процессора. Сложение с нормализацией, синтез операций, выборка команды. Описание структурной схемы процессора. Синтез управляющего автомата, разметка граф схемы. Разбиение микроопераций по полям и кодирование логических условий.

    курсовая работа [91,8 K], добавлен 24.09.2010

  • Разновидности конструктивных решений реализации весового оборудования. Разработка блок-схемы предустановок, блок-схемы измерения веса, блок-схемы вывода информации о весе в компьютер, блок-схемы устройства и программы работы микропроцессорного блока.

    курсовая работа [525,4 K], добавлен 13.02.2023

  • Проект функционального узла для выполнения микроопераций в вычислительной системе; анализ вариантов реализации. Интегральная и электрическая схемы узла; оценка переходных процессов и предельного быстродействия. Расчет и выбор генератора тактовых сигналов.

    курсовая работа [540,1 K], добавлен 21.10.2012

  • Выбор и обоснование параметров входа, разработка кодека. Исследование кодов, исправляющих ошибки, которые могут возникать при передаче, хранении или обработке информации по разным причинам. Синтез принципиальной схемы парафазного буфера и декодера.

    курсовая работа [582,8 K], добавлен 24.03.2013

  • Распределение шин интерфейса, их связь с внешней системой, выбор алгоритмов арифметических и логических операций. Построение структурной схемы микро-ЭВМ, определение формата микрокоманд, составление таблиц соответствия двоичного и символьного кодирований.

    курсовая работа [1,6 M], добавлен 05.06.2013

  • Построение универсального лабораторного комплекса вычислительной техники. Создание программы-эмулятора контроля арифметическо-логического устройства с использованием остаточных кодов по модулю 3. Обоснование элементной базы; синтез основных узлов АЛУ.

    курсовая работа [1,9 M], добавлен 01.10.2013

  • Структурная и функциональная схема операционной части блока обработки команд. Совмещение регистрового и относительного с базированием и индексированием режимов адресации. Синтез самопроверяемой схемы встроенного контроля для комбинационной схемы.

    контрольная работа [21,2 K], добавлен 02.03.2014

  • Систематизация, закрепление и расширение теоретических и практических знаний. Выбор методов построения проектируемого устройства, синтез функциональных узлов, схемы контроля, расчеты электронных схем. Проектирование конструкций, технологических процессов.

    методичка [84,3 K], добавлен 28.12.2009

  • Проектирование цифровых устройств на ПЛИС фирмы Xilinx с применением языка VHDL, использование систем Leonardo Spectrum, Foundation Express и Integrated Synthesis Environment (ISE). Синтез и реализация проекта, разработка регистровой схемы и умножителя.

    курсовая работа [2,3 M], добавлен 28.06.2009

  • Синтез структуры простого магистрального процессора с одним АЛУ, выполняющего 8 заданных команд. Разработка формата и кодировки команд, структурной схемы процессора, функциональные схемы всех его блоков в целом с указанием шин и управляющих сигналов.

    реферат [123,9 K], добавлен 18.05.2009

  • Типовые комбинационные схемы. Основы математического аппарата анализа и синтеза логических устройств. Функциональная полнота элементов Шеффера и Пирса. Логические элементы, образующие логический базис. Особенности синтеза схем с запрещенными комбинациями.

    методичка [977,1 K], добавлен 28.04.2009

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.